Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2491845.2491865acmotherconferencesArticle/Chapter ViewAbstractPublication PagespciConference Proceedingsconference-collections
research-article

Hardware design space exploration using HercuLeS HLS

Published: 19 September 2013 Publication History
  • Get Citation Alerts
  • Abstract

    HercuLeS is an extensible high-level synthesis (HLS) environment. It removes significant human effort by automatically mapping algorithms to hardware, providing a valuable design assist to software-oriented developers. To enable accessibility and easiness of hardware design space exploration (DSE), HercuLeS overcomes limitations of known work: non-standard source languages, insufficient representations, maintenance difficulties, necessity of code templates, lack of usage paradigms and vendor-dependence. Specific aspects that are highlighted in this manuscript are: a) the in-nerworkings of the HercuLeS hardware compilation engine, b) manipulation of SSA (Static Single Assignment) form, c) automatic third-party IP integration, d) backend C code generation for compiled simulation, and e) an exemplary case of DSE. HercuLeS enables efficient hardware generation that can closely match the quality of results of a manually-developed implementation with much reduced human effort and time requirements.

    References

    [1]
    C-to-Silicon. http://www.cadence.com/products/sd/-silicon_compiler/pages/default.aspx.
    [2]
    C-to-Verilog. http://www.c-to-verilog.com.
    [3]
    C2H. http://www.altera.com/products/ip/-processors/nios2/tools/c2h/ni2-c2h.html.
    [4]
    CatapultC. http://calypto.com/en/products/catapult/overview/.
    [5]
    FloPoCo. http://flopoco.gforge.inria.fr/.
    [6]
    GAUT. http://www-labsticc.univ-ubs.fr/www-gaut/.
    [7]
    GHDL. http://ghdl.free.fr.
    [8]
    GIMPLE. http://gcc.gnu.org/wiki/GIMPLE.
    [9]
    Graphviz. http://www.graphviz.org.
    [10]
    GTKwave. http://sourceforge.net/projects/gtkwave.
    [11]
    ImpulseC. http://www.acceleratedtechnologies.com.
    [12]
    ITRS. http://www.itrs.net/reports.html.
    [13]
    LegUp. http://www.legup.org.
    [15]
    Modelsim. http://www.model.com.
    [16]
    ROCCC. http://www.jacquardcomputing.com/roccc/.
    [17]
    SPARK. http://mesl.ucsd.edu/spark/.
    [18]
    Synphony HLS. http://www.synopsys.com/Tools/-SLD/HLS/Pages/default.aspx.
    [19]
    The GNU Compiler Collection homepage. http://gcc.gnu.org.
    [20]
    TransC. http://cgi.tu-harburg.de/~ti6hm/.
    [21]
    Txl programming language homepage. http://www.txl.ca.
    [22]
    Xilinx. http://www.xilinx.com.
    [23]
    IEEE 1364-2005 Standard for Verilog Hardware Description Language, Apr. 2006.
    [24]
    IEEE 1076-2008 Standard VHDL Language Reference Manual, Jan. 2009.
    [25]
    A. W. Appel. SSA is functional programming. ACM SIGPLAN Notices, 33(4):17--20, Apr. 1998.
    [26]
    J. Aycock and N. Horspool. Simple generation of static single assignment form. In Proc. 9th Int. Conf. in Compiler Construction, pages 110--125, 2000.
    [27]
    P. P. Chu. RTL Hardware Design Using VHDL. Wiley, 2006.
    [28]
    P. Coussy and A. Morawiec, editors. High-Level Synthesis: From Algorithm to Digital Circuits. Springer, 2008.
    [29]
    D. D. Gajski and L. Ramachandran. Introduction to high-level synthesis. IEEE Design & Test of Computers, 11(1):44--54, Jan.-Mar. 1994.
    [30]
    M. Henderson. fgmp: Free/public-domain MP library. http://ftp.ee.netbsd.org/pub/pkgsrc/packages/-NetBSD/sparc/5.1/math/.
    [31]
    G. N. T. Huong and S. W. Kim. GCC2Verilog: Compiler toolset for complete translation of C programming language into Verilog HDL. ETRI Journal, 33(5):731--740, Oct. 2011.
    [32]
    N. Kavvadias, V. Giannakopoulou, and K. Masselos. FSMD-based hardware accelerators for FPGAs. In D. K. Tanaka, editor, Embedded Systems - Theory and Design Methodology, pages 157--160. InTech, Mar. 2012.
    [33]
    N. Kavvadias and K. Masselos. NAC: A lightweight intermediate representation for ASIP compilers. In Proc. Int. Conf. on Engin. of Reconf. Sys. and Applications (ERSA'11), pages 351--354, Las Vegas, Nevada, USA, Jul. 2011.
    [34]
    N. Kavvadias and K. Masselos. Automated synthesis of FSMD-based accelerators for hardware compilation. In Proc. of the 2012 IEEE 23rd Int. Conf. on Application-Specific Systems, Architectures and Processors (ASAP), pages 157--160, Delft, The Netherlands, Jul. 2012.
    [35]
    V. Sklyarov. FPGA-based implementation of recursive algorithms. Microprocessors and Microsystems, 28(5-6):197--211, 2004.
    [36]
    Xilinx. Vivado ESL Design. http://www.xilinx.com/products/design-tools/vivado/integration/esl-design/index.htm.

    Cited By

    View all
    • (2018)A time-multiplexed FPGA overlay with linear interconnect2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342171(1075-1080)Online publication date: Mar-2018
    • (2018)Enhancing debug observability for HLS-based FPGA circuits through source-to-source compilationJournal of Parallel and Distributed Computing10.1016/j.jpdc.2018.02.012117:C(148-160)Online publication date: 1-Jul-2018
    • (2014)A data flow graph generation method starting from c description by handling loop nest hierarchy2014 IEEE 9th IEEE International Symposium on Applied Computational Intelligence and Informatics (SACI)10.1109/SACI.2014.6840074(269-274)Online publication date: May-2014

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Other conferences
    PCI '13: Proceedings of the 17th Panhellenic Conference on Informatics
    September 2013
    359 pages
    ISBN:9781450319690
    DOI:10.1145/2491845
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    • University of Macedonia
    • Aristotle University of Thessaloniki
    • The University of Sheffield: The University of Sheffield
    • Alexander TEI of Thessaloniki

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 19 September 2013

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. ASIC
    2. FPGA
    3. HLS
    4. application-specific integrated circuit
    5. high level synthesis

    Qualifiers

    • Research-article

    Conference

    PCI 2013
    Sponsor:
    • The University of Sheffield
    PCI 2013: 17th Panhellenic Conference on Informatics
    September 19 - 21, 2013
    Thessaloniki, Greece

    Acceptance Rates

    Overall Acceptance Rate 190 of 390 submissions, 49%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)A time-multiplexed FPGA overlay with linear interconnect2018 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE.2018.8342171(1075-1080)Online publication date: Mar-2018
    • (2018)Enhancing debug observability for HLS-based FPGA circuits through source-to-source compilationJournal of Parallel and Distributed Computing10.1016/j.jpdc.2018.02.012117:C(148-160)Online publication date: 1-Jul-2018
    • (2014)A data flow graph generation method starting from c description by handling loop nest hierarchy2014 IEEE 9th IEEE International Symposium on Applied Computational Intelligence and Informatics (SACI)10.1109/SACI.2014.6840074(269-274)Online publication date: May-2014

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media