Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Throughput maximization for periodic real-time systems under the maximal temperature constraint

Published: 27 January 2014 Publication History
  • Get Citation Alerts
  • Abstract

    In this article, we study the problem of how to maximize the throughput of a periodic real-time system under a given peak temperature constraint. We assume that different tasks in our system may have different power and thermal characteristics. Two scheduling approaches are presented. The first is built upon processors that can be in either active or sleep mode. By judiciously selecting tasks with different thermal characteristics as well as alternating the processor's active/sleep mode, the sleep period required to cool down the processor is kept at a minimum level, and, as the result, the throughput is maximized. We further extend this approach for processors with dynamic voltage/frequency scaling (DVFS) capability. Our experiments on a large number of synthetic test cases as well as real benchmark programs show that the proposed methods not only consistently outperform the existing approaches in terms of throughput maximization, but also significantly improve the feasibility of tasks when a more stringent temperature constraint is imposed.

    References

    [1]
    N. Bansal, T. Kimbrel, and K. Pruhs. 2007. Speed scaling to manage energy and temperature. J. ACM 54, 1, 1--39.
    [2]
    M. Bao, A. Andrei, P. Eles, and Z. Peng. 2009. On-line thermal aware dynamic voltage scaling for energy optimization with frequency/temperature dependency consideration. In Proceedings of the Design Automation Conference. 490--495.
    [3]
    M. Bao, A. Andrei, P. Eles, and Z. Peng. 2010. Temperature-aware idle time distribution for energy optimization with dynamic voltage scaling. In Proceedings of the Design Automation & Test in Europe (DATE). 21--27.
    [4]
    D. Brooks and M. Martonosi. 2001. Dynamic thermal management for high-performance microprocessors. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture (HPCA'01). 171.
    [5]
    D. Brooks, V. Tiwari, and M. Martonosi. 2000. Wattch: A framework for architectural-level power analysis and optimizations. In Proceedings of the 27th International Symposium on Computer Architecture (ISCA). 83--94.
    [6]
    T. Chantem, X. S. Hu, and R. Dick. 2009. Online work maximization under a peak temperature constraint. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED). 105--110.
    [7]
    V. Chaturvedi, H. Huang, and G. Quan. 2010. Leakage aware scheduling on maximal temperature minimization for periodic hard real-time systems. In Proceedings of the 10th IEEE International Conference on Computer and Information Technology. 1802--1809.
    [8]
    J.-J. Chen, H.-R. Hsu, and T.-W. Kuo. 2006. Leakage-aware energy-efficient scheduling of real-time tasks in multiprocessor systems. In Proceedings of the IEEE Real-Time and Embedded Technology and Application Symposium (RTAS). 408--417.
    [9]
    A. Coskun, J. Ayala, D. Atienza, T. Rosing, and Y. Leblebici. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the Design, Automation & Test in Europe (DATE'09). 1410--1415.
    [10]
    V. Hanumaiah, R. Rao, S. Vrudhula, and K. S. Chatha. 2009a. Throughput optimal task allocation under thermal constraints for multi-core processors. In Proceedings of the Design Automation Conference (DAC'09). ACM, New York, NY, 776--781.
    [11]
    V. Hanumaiah, S. Vrudhula, and K. Chatha. 2009b. Maximizing performance of thermally constrained multi-core processors by dynamic voltage and frequency control. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, (ICCAD'09). 310--313.
    [12]
    HotSpot. 2009. HotSpot 4.2 temperature modeling tool. University of Virgina. http://lava.cs.virginia.edu/HotSpot.
    [13]
    H. Huang and G. Quan. 2011. Leakage aware energy minimization for real-time systems under the maximum temperature constraint. In Proceedings of the Design Automation & Test in Europe (DATE).
    [14]
    H. Huang, G. Quan, and J. Fan. 2010. Leakage temperature dependency modeling in system level analysis. In Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED). 447--452.
    [15]
    R. Jayaseelan and T. Mitra. 2008. Temperature aware task sequencing and voltage scaling. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 618--623.
    [16]
    J. Li, M. Qiu, J. Niu, L. Yang, Y. Zhu, and Z. Ming. 2013. Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads. ACM Trans. Embed. Comput. Syst. 12, 1--22.
    [17]
    W. Liao, L. He, and K. Lepak. 2005. Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans. Comput.-Aid. Des. Integr. Circuits Syst. 24, 7, 1042--1053.
    [18]
    S. Liu, M. Qiu, W. Gao, X.-J. Tang, and B. Guo. 2010a. Hybrid of job sequencing and DVFS for peak temperature reduction with nondeterministic applications. In Proceedings of the 10th International Conference on Computer and Information Technology. 1780--1787.
    [19]
    S. Liu, J. Zhang, Q. Wu, and Q. Qiu. 2010b. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of the 11th International Symposium on Quality Electronic Design (ISQED). 390--398.
    [20]
    Y. Liu and H. Yang. 2010. Temperature-aware leakage estimation using piecewise linear power models. IEICE Trans. Electron. 93, 12, 1679--1691.
    [21]
    Y. Liu, H. Yang, R. P. Dick, H. Wang, and L. Shang. 2007. Thermal vs. energy optimization for DVFS-enabled processors in embedded systems. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 204--209.
    [22]
    C.-L. Lung, Y.-L. Ho, D.-M. Kwai, and S.-C. Chang. 2011. Thermal-aware on-line task allocation for 3D multi-core processor throughput optimization. In Proceedings of the Design, Automation & Test in Europe (DATE). 1--6.
    [23]
    MediaBench. 1997. Mediabench. http://euler.slu.edu/∼fritts/mediabench/.
    [24]
    M. D. Powell, M. Gomaa, and T. N. Vijaykumar. 2004. Heat-and-run: Leveraging SMT and CMP to manage power density through the operating system. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 260--270.
    [25]
    M. Qiu and E. H.-M. Sha. 2013. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Des. Autom. Electron. Syst. 14, 1--30.
    [26]
    G. Quan and V. Chaturvedi. 2010. Feasibility analysis for temperature-constraint hard real-time periodic tasks. IEEE Trans. Indus. Info. 6, 3, 329--339.
    [27]
    G. Quan and Y. Zhang. 2009. Leakage aware feasibility analysis for temperature-constrained hard real-time periodic tasks. In Proceedings of the 21st Euromicro Conference on Real-Time System (ECRTS). 207--216.
    [28]
    G. Quan, Y. Zhang, W. Wiles, and P. Pei. 2008. Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. In Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis (ISSS+CODES).
    [29]
    M. Santarini. 2005. Thermal integrity: A must for low-power-IC digital design. EDN.
    [30]
    Simplescalar. 2004. Simplescalar. http://www.simplescalar.com.
    [31]
    K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-aware microarchitecture. In Proceedings of the 30th International Symposium on Computer Architecture (ICSA), 2--13.
    [32]
    SPEC. 2000. Spec2000 benchmarks. http://www.spec.org.
    [33]
    C. Sun, L. Shang, and R. Dick. 2007. Three-dimensional multiprocessor system-on-chip thermal optimization. In Proceedings of the 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS). 117--122.
    [34]
    S. Wang and R. Bettati. 2006. Reactive speed control in temperature-constrained real-time systems. In Proceedings of the 18th Euromicro Conference on Real-Time System (ECRTS). 161--170.
    [35]
    Wikipedia. 2013. L'hopital's rule, http://en.wikipedia.org/wiki/l'hopital's-rule.
    [36]
    C.-Y. Yang, J.-J. Chen, L. Thiele, and T.-W. Kuo. 2010. Energy-efficient real-time task scheduling with temperature-dependent leakage. In Proceedings of the Design Automation & Test in Europe (DATE). 9--14.
    [37]
    L.-T. Yeh and R. C. Chu. 2002. Thermal Management of Microelectronic Equipment: Heat Transfer Theory, Analysis Methods, and Design Practices. ASME Press, New York, NY.
    [38]
    S. Zhang and K. S. Chatha. 2007. Approximation algorithm for the temperature-aware scheduling problem. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 281--288.
    [39]
    S. Zhang and K. S. Chatha. 2010. Thermal aware task sequencing on embedded processors. In Proceedings of the Design Automation Conference (DAC). 585--590.
    [40]
    X. Zhou, Y. Xu, Y. Du, Y. Zhang, and J. Yang. 2008. Thermal management for 3D processors via task scheduling. In Proceedings of the International Conference on Parallel Processing (ICPP). 115--122.
    [41]
    C. Zhu, Z. Gu, L. Shang, R. Dick, and R. Joseph. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput.-Aid. Des. Integr. Circuits Syst. 27, 8, 1479--1492.

    Cited By

    View all
    • (2024)Reliability-Driven End–End–Edge Collaboration for Energy Minimization in Large-Scale Cyber-Physical SystemsIEEE Transactions on Reliability10.1109/TR.2023.329712473:1(230-244)Online publication date: Mar-2024
    • (2024)Design of Health Monitoring System Based on STM322024 9th IEEE International Conference on Smart Cloud (SmartCloud)10.1109/SmartCloud62736.2024.00019(66-71)Online publication date: 10-May-2024
    • (2024)Lightweight Trust Management Scheme Based on Blockchain in Resource-Constrained Intelligent IoT SystemsIEEE Internet of Things Journal10.1109/JIOT.2024.338085011:15(25706-25719)Online publication date: 1-Aug-2024
    • Show More Cited By

    Index Terms

    1. Throughput maximization for periodic real-time systems under the maximal temperature constraint

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 13, Issue 2s
        Special Section ESFH'12, ESTIMedia'11 and Regular Papers
        January 2014
        409 pages
        ISSN:1539-9087
        EISSN:1558-3465
        DOI:10.1145/2544375
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 27 January 2014
        Accepted: 01 September 2013
        Revised: 01 March 2013
        Received: 01 October 2012
        Published in TECS Volume 13, Issue 2s

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Thermal management
        2. dynamic voltage scaling
        3. leakage/temperature dependency
        4. task scheduling

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)17
        • Downloads (Last 6 weeks)2
        Reflects downloads up to 26 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Reliability-Driven End–End–Edge Collaboration for Energy Minimization in Large-Scale Cyber-Physical SystemsIEEE Transactions on Reliability10.1109/TR.2023.329712473:1(230-244)Online publication date: Mar-2024
        • (2024)Design of Health Monitoring System Based on STM322024 9th IEEE International Conference on Smart Cloud (SmartCloud)10.1109/SmartCloud62736.2024.00019(66-71)Online publication date: 10-May-2024
        • (2024)Lightweight Trust Management Scheme Based on Blockchain in Resource-Constrained Intelligent IoT SystemsIEEE Internet of Things Journal10.1109/JIOT.2024.338085011:15(25706-25719)Online publication date: 1-Aug-2024
        • (2024)CMCL: Cross-Modal Compressive Learning for Resource-Constrained Intelligent IoT SystemsIEEE Internet of Things Journal10.1109/JIOT.2024.335977411:15(25534-25542)Online publication date: 1-Aug-2024
        • (2024)Energy-STGNN: A Dynamic Forecasting Approach For Energy Consumption Prediction2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00022(75-79)Online publication date: 10-May-2024
        • (2024)Research on Machine Learning-Based Advanced Semantic Mapping Model for Substations and Security Alert Disposition2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00018(59-64)Online publication date: 10-May-2024
        • (2024)Android Application Reinforcement Method Based on 5G Terminal Device2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00017(53-58)Online publication date: 10-May-2024
        • (2024)Wireless Channel Estimation and Equalization Based on Deep Learning2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00016(47-52)Online publication date: 10-May-2024
        • (2024)Energy Harvesting Design for Cooperative Reconfigurable Intelligent Surface with Multi-Agent Deep Reinforcement Learning2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00015(42-46)Online publication date: 10-May-2024
        • (2024)FireRobBrain: Planning for a Firefighting Robot using Knowledge Graph and Large Language Model2024 10th IEEE International Conference on Intelligent Data and Security (IDS)10.1109/IDS62739.2024.00014(37-41)Online publication date: 10-May-2024
        • Show More Cited By

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media