Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures

Published: 02 June 2014 Publication History

Abstract

Wireless Network-on-Chip (WiNoC) has emerged as an enabling technology to design low power and high bandwidth massive multicore chips. WiNoCs based on small-world network architecture and designed with incorporating millimeter (mm)-wave on-chip wireless links offer significantly lower power and higher bandwidth compared to traditional mesh-based counterparts. In this mm-wave small-world WiNoC (mSWNoC), long distance communication predominately takes place through the wireless shortcuts whereas the short-range data exchange still occurs through the conventional metal wires. This results in performance advantages mainly stemming from using the wireless links as long-range shortcuts between far apart cores. This performance gain can be enhanced further if the wireline links and the processing cores of the WiNoC are optimized according to the traffic patterns and application workloads. In this work, we demonstrate that by incorporating both processor- and network-level dynamic voltage and frequency scaling (DVFS) in an mSWNoC, the power and thermal profiles can be improved without a significant impact on the overall execution time. We also show that depending on the applications, temperature hotspots can be formed either in the processing cores or in the network infrastructure. The proposed dual-level DVFS is capable of addressing both types of hotspots. In this work we will demonstrate how novel interconnect architectures enabled by the on-chip wireless links coupled with power management strategies can improve the energy and thermal characteristics of a NoC significantly.

References

[1]
E. Beigne, F. Clermidy, H. Lhermet, et al. 2009. An asynchronous power aware and adaptive NoC based circuit. IEEE J. Solid-State Circuits 44, 4, 1167--1177.
[2]
L. Benini and G. De Micheli. 2002. Networks on chips: A mew SoC paradigm. IEEE Computer 35, 1, 70--78.
[3]
C. Bienia. 2011. Benchmarking modern multiprocessors. Ph.D. Dissertation, Princeton University.
[4]
N. Binkert, B. Beckmann, G. Black, et al. 2011. The GEM5 Simulator. ACM SIGARCH Computer Architecture News 39, 2, 1--7.
[5]
K. Chang, S. Deb, A. Ganguly, X. Yu, S. P. Sah, P. P. Pande, B. Belzer, and D. Heo. 2012. Performance evaluation and design trade-offs for wireless network-on-chip architectures. ACM J. Emerg. Technol. Comput. Syst. 8, 3.
[6]
H. Chi and C. Tang. 1997. A deadlock-free routing scheme for interconnection networks with irregular topology. In Proceedings of the International Conference on Parallel and Distributed Systems. 88--95.
[7]
S. Deb, A. Ganguly, K. Chang, P. P. Pande, B. Belzer, and D. Heo. 2010. Enhancing performance of network-on-chip architectures with millimeter-wave wireless interconnects. In Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors. 73--80.
[8]
S. Deb, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo. 2012a. Wireless NoC as interconnection backbone for multicore chips: Promises and challenges. ACM J. Emerg. Technol. Comput. Syst. 2, 2.
[9]
S. Deb, K. Chang, X. Yu, S. P. Sah, M. Cosic, A. Ganguly, P. P. Pande, B. Belzer, and D. Heo. 2012b. Design of an energy efficient CMOS compatible NoC architecture with millimeter-wave wireless interconnects. IEEE Trans. Comput.
[10]
S. Deb, K. Chang, M. Cosic, A. Ganguly, P. P. Pande, D. Heo, and B. Belzer. 2012c. CMOS Compatible many-core NoC architectures with multi-channel millimeter-wave wireless links. In Proceedings of the Great Lakes Symposium on VLSI (GLSVLSI'12). 165--170.
[11]
D. Di Tomaso, A. Kodi, S. Kaya, and D. Matolak. 2011. iWise: Inter-router wireless scalable express channels for network-on-chips (NoCs) architectures. In Proceedings of the IEEE Symposium on High Performance Interconnects (HOTI'11). 11--18.
[12]
S. Dighe, S. Vangal, P. Aseron, et al. 2010. Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC'10). 174--175.
[13]
J. Donald and M. Martonosi. 2006. Techniques for multicore thermal management: classification and new exploration. In Proceedings of the 33rd International Symposium on Computer Architecture (ISCA'06). 78--88.
[14]
A. B. Floyd, C.-M. Hung, and K. K. O. 2002. Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE J. Solid-State Circuits. 37, 5, 543--552.
[15]
A. Ganguly, K. Chang, S. Deb, P. P. Pande, B. Belzer, and C. Teuscher. 2011a. Scalable hybrid wireless network-on-chip architectures for multi-core systems. IEEE Trans. Comput. 60, 10, 1485--1502.
[16]
A. Ganguly, P. Wettin, K. Chang, and P. P. Pande. 2011b. Complex network inspired fault-tolerant NoC architectures with wireless links. In Proceedings of the International Symposium on Networks-on-Chip (NoCS'11). 169--176.
[17]
S. Garg, D. Marculescu, and R. Marculescu. 2012. Technology-driven limits on run-time power management algorithms for multi-processor systems on chip. ACM J. Emerg. Technol. Comput. Syst. 8, 4.
[18]
J. Howard. 2011. A 48-core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling. IEEE J. Solid-State Circuits 46, 1.
[19]
W. Jang, D. Ding, and A. Pan. 2008. A voltage-frequency island aware energy optimization frame-work for networks-on-chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst.
[20]
W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks. 2008. System level analysis of fast, per-core dvfs using on-chip switching regulators. In Proceedings of the International Symposium on High Performance Computer Architecture. 123--134.
[21]
T. Krishna, A. Kumar, P. Chiang, M. Erez, and L.-S. Peh. 2008.NoC with near-ideal express virtual channels using global-line communication. In Proceedings of the IEEE Symposium on High Performance Interconnects (HOTI'08). 11--20.
[22]
S. S. Kudva and R. Harjani. 2011. Fully-integrated on-Chip DC-DC converter with a 450X output range. IEEE J. Solid-State Circuits. 46, 8, 1940--1951.
[23]
A. Kumar, L.-S. Peh, P. Kundu, and N. K. Jha. 2008a. Toward Ideal On-Chip Communication Using Express Virtual Channels. IEEE Micro 28, 1, 80--90.
[24]
A. Kumar, L.-S. Peh, and N. K. Jha. 2008b. Token flow control. In Proceedings of the 41st IEEE/ACM International Symposium on Microarchitecture. 342--353.
[25]
S.-B. Lee, S.-W. Tam, I. Pefkianakis, et al. 2009. A scalable micro wireless interconnect structure for CMPs. In Proceedings of the ACM Annual International Conference on Mobile Computing and Networking (MobiCom'09). 20--25.
[26]
S. Li, J. H. Ahn, R. Strong, J. Brockman, D. Tullsen, and N. Jouppi. 2009. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures. In Proceedings of the International Symposium on Microarchitecture. 469--480.
[27]
J. Luo, N. K. Jha, and L.-S. Peh. 2007. Simultaneous dynamic voltage scaling of processors and communication links in real-time distributed embedded systems. IEEE Trans. VLSI Syst. 15, 4.
[28]
R. Marculescu, U. Y. Ogras, L.-S. Peh, N. E. Jerger, and Y. Hoskote. 2009. Outstanding research problems in NoC design: System, microarchitecture, and circuit perspectives. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 28, 1, 3--21.
[29]
K. Niyogi and D. Marculescu. 2005. Speed and voltage selection for GALS systems based on voltage/frequency islands. In Proceedings of the 45th Annual Conference on Design Automation. 292--297.
[30]
U. Y. Ogras and R. Marculescu. 2005. Application-specific network-on-chip architecture customization via long-range link insertion. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'05). 246--253.
[31]
U. Y. Ogras and R. Marculescu. 2006. It's a small world after all: NoC performance optimization via long-range link insertion. IEEE Trans. VLSI Syst. 14, 7, 693--706.
[32]
U. Y. Ogras, R. Marculescu, and D. Marculescu. 2008. Variation-adaptive feedback control for networks-on-chip with multiple clock domains. In Proceedings of the 45th Annual Conference on Design Automation. 614--619.
[33]
P. P. Pande, C. Grecu, M. Jones, A. Ivanov, and R. Saleh. 2005. Performance evaluation and design trade-offs for network-on-chip interconnect architectures. IEEE Trans. Comput. 54, 8, 1025--1040.
[34]
T. Petermann and P. De Los Rios. 2005. Spatial small-world networks: a wiring cost perspective. arXiv:cond-mat/0501420v2.
[35]
K. Sankaranarayanan, S. Velusamy, M. Stan, and K. Skadron. 2005. A case for thermal-aware floorplanning at the microarchitectural level. J. Instruction-Level Parallelism. 1--16.
[36]
L. Shang, L.-S. Peh, and N. K. Jha. 2003. Dynamic voltage scaling with links for power optimization of interconnection networks. In Proceedings of the International Symposium on High-Performance Computer Architecture.
[37]
L. Shang, L.-S. Peh, A. Kumar, and N. K. Jha. 2006. Temperature-aware on-chip networks. IEEE Micro: Micro's Top Picks from Computer Architecture Conferences. 130--139.
[38]
K. Skadron, M. R. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. 2003. Temperature-aware microarchitecture. In Proceedings of the International Symposium on Computer Architecture. 2--13.
[39]
D. J. Watts and S. H. Strogatz. 1998. Collective dynamics of ‘small-world’ networks. Nature. 393, 440--442.
[40]
S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the Annual International Symposium on Computer Architecture. 24--36.
[41]
D. Zhao and Y. Wang. 2008. SD-MAC: Design and synthesis of a hardware-efficient collision-free QoS-aware MAC protocol for wireless network-on-chip. IEEE Trans. Comput. 57, 9, 1230--1245.

Cited By

View all
  • (2023)Latency Reduction in mmWave VLSI Circuits through Gravitational Learning2023 International Conference on Advances in Computation, Communication and Information Technology (ICAICCIT)10.1109/ICAICCIT60255.2023.10466077(1197-1202)Online publication date: 23-Nov-2023
  • (2022)A Hybrid Model for Reliability Aware and Energy-Efficiency in Multicore SystemsComputers, Materials & Continua10.32604/cmc.2022.02077570:3(4447-4466)Online publication date: 2022
  • (2020)A Dynamic Programming Framework for DVFS-Based Energy-Efficiency in Multicore SystemsIEEE Transactions on Sustainable Computing10.1109/TSUSC.2019.29114715:1(1-12)Online publication date: 1-Jan-2020
  • Show More Cited By

Index Terms

  1. Dual-Level DVFS-Enabled Millimeter-Wave Wireless NoC Architectures

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Journal on Emerging Technologies in Computing Systems
    ACM Journal on Emerging Technologies in Computing Systems  Volume 10, Issue 4
    May 2014
    158 pages
    ISSN:1550-4832
    EISSN:1550-4840
    DOI:10.1145/2628070
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 02 June 2014
    Accepted: 01 September 2013
    Revised: 01 July 2013
    Received: 01 February 2013
    Published in JETC Volume 10, Issue 4

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. DVFS
    2. Multicore
    3. NoC
    4. small-world
    5. wireless links

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 02 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Latency Reduction in mmWave VLSI Circuits through Gravitational Learning2023 International Conference on Advances in Computation, Communication and Information Technology (ICAICCIT)10.1109/ICAICCIT60255.2023.10466077(1197-1202)Online publication date: 23-Nov-2023
    • (2022)A Hybrid Model for Reliability Aware and Energy-Efficiency in Multicore SystemsComputers, Materials & Continua10.32604/cmc.2022.02077570:3(4447-4466)Online publication date: 2022
    • (2020)A Dynamic Programming Framework for DVFS-Based Energy-Efficiency in Multicore SystemsIEEE Transactions on Sustainable Computing10.1109/TSUSC.2019.29114715:1(1-12)Online publication date: 1-Jan-2020
    • (2020)A study of DVFS methodologies for multicore systems with islanding feature10.1016/bs.adcom.2020.03.005Online publication date: 2020
    • (2019)Evaluation of a Practical Markov model-based Methodology for Energy Efficiency in Multicore Systems2019 Tenth International Green and Sustainable Computing Conference (IGSC)10.1109/IGSC48788.2019.8957190(1-8)Online publication date: Oct-2019
    • (2018)Impact of Cache Voltage Scaling on Energy-Time Pareto Frontier in Multicore SystemsSustainable Computing: Informatics and Systems10.1016/j.suscom.2018.02.01118(54-65)Online publication date: Jun-2018
    • (2017)Improving Energy Efficiency in Wireless Network-on-Chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/313880714:1(1-24)Online publication date: 3-Nov-2017
    • (2016)A Novel Approach to Optimize Fault-Tolerant Hybrid Wireless Network-on-Chip ArchitecturesACM Journal on Emerging Technologies in Computing Systems10.1145/281457212:4(1-37)Online publication date: 15-Mar-2016
    • (2016)Runtime Tunable Transmitting Power Technique in mm-Wave WiNoC ArchitecturesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2015.244927524:4(1535-1545)Online publication date: Apr-2016
    • (2015)Enhancing EDP of multicore processors through DVFSProceedings of the 2015 Sixth International Green and Sustainable Computing Conference (IGSC)10.1109/IGCC.2015.7393678(1-6)Online publication date: 14-Dec-2015

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media