Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

REDELF: An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections

Published: 21 September 2015 Publication History
  • Get Citation Alerts
  • Abstract

    3D integrated circuits (3D ICs) using through-silicon vias (TSVs) allow to envision the stacking of dies with different functions and technologies, using as an interconnect backbone a 3D network-on-chip (NoC). However, partial vertical connection in 3D NoCs seems unavoidable because of the large overhead of TSV itself (e.g., large footprint, low fabrication yield, additional fabrication processes) as well as the heterogeneity in dimension. This article proposes an energy-efficient deadlock-free routing algorithm for 3D mesh topologies where vertical connections partially exist. By introducing some rules for selecting elevators (i.e., vertical links between dies), the routing algorithm can eliminate the dedicated virtual channel requirement. In this article, the rules themselves as well as the proof of deadlock freedom are given. By eliminating the virtual channels for deadlock avoidance, the proposed routing algorithm reduces the energy consumption by 38.9% compared to a conventional routing algorithm. When the virtual channel is used for reducing the head-of-line blocking, the proposed routing algorithm increases performance by up to 23.1% and 6.9% on average.

    References

    [1]
    Benny Akesson, Po-Chun Huang, Fabien Clermidy, Denis Dutoit, Kees Goossens, Yuan-Hao Chang, Tei-Wei Kuo, Pascal Vivet, and Drew Wingard. 2011. Memory controllers for high-performance and real-time MPSoCs: Requirements, architectures, and future trends. In Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis. 3--12.
    [2]
    Maryam Bahmani, Abbas Sheibanyrad, Frederic Petrot, Florentine Dubois, and Paolo Durante. 2012. A 3D-NoC router implementation exploiting vertically-partially-connected topologies. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 9--14.
    [3]
    Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques. 72--81.
    [4]
    Shekhar Borkar, Robert Cohn, George Cox, Sha Gleason, and Thomas Gross. 1988. Warp: An integrated solution of high-speed parallel computing. In Proceedings of the International Conference on Supercomputing. 330--339.
    [5]
    Nizar Dahir, Ra'ed Al-Dujaily, Alex Yakovlev, Petros Missailidis, and Terrence Mak. 2012. Deadlock-free and plane-balanced adaptive routing for 3D networks-on-chip. In Proceedings of the International Workshop on Network-on-Chip Architectures. 31--36.
    [6]
    William J. Dally and Charles L. Seitz. 1987. Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. 100, 547--553.
    [7]
    Florian Darve, Abbas Sheibanyrad, Pascal Vivet, and Frederic Petrot. 2011. Physical implementation of an asynchronous 3D-NoC router using serial vertical links. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. 25--30.
    [8]
    Florentine Dubois, Abbas Sheibanyrad, Frederic Petrot, and Maryam Bahmani. 2013. Elevator-first: A deadlock-free distributed routing algorithm for vertically partially connected 3D-NoCs. IEEE Trans. Comput. 62, 609--615.
    [9]
    Chris Fallin, Greg Nazario, Xiangyao Yu, Kevin Chang, Rachata Ausavarungnirun, and Onur Mutlu. 2012. MinBD: Minimally-buffered deflection routing for energy-efficient interconnect. In Proceedings of the International Symposium on Networks-on-Chip. 1--10.
    [10]
    Jose Flich, Tor Skeie, Andres Mejia, Olav Lysne, Pedro Lopez, Antonio Robles, Jose Duato, Michihiro Koibuchi, Tomas Rokicki, and Jose Carlos Sancho. 2012. A survey and evaluation of topology-agnostic deterministic routing algorithms. IEEE Trans. Parallel Distrib. Syst. 23, 405--425.
    [11]
    Sahar Foroutan, Abbas Sheibanyrad, and Frederic Petrot. 2014. Assignment of vertical-links to routers in vertically-partially-connected 3-D-NoCs. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Syst. 33, 1208--1218.
    [12]
    Yatin Hoskote, Sriram Vangal, Arvind Singh, Nitin Borkar, and Shekhar Borkar. 2007. A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27, 51--61.
    [13]
    Andrew B. Kahng, Bin Li, Li-Shiuan Peh, and Kambiz Samadi. 2009. ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration. In Proceedings of the Design, Automation, and Test in Europe Conference (DATE'09). 423--428.
    [14]
    Kyungsu Kang, Luca Benini, and Giovanni De Micheli. 2014. Cost-effective design of mesh-of-tree interconnect for multi-core clusters with 3-D stacked L2 scratchpad memory. IEEE Trans. VLSI Syst. (to appear).
    [15]
    Nishit Kapadia and Sudeep Pasricha. 2012. A power delivery network aware framework for synthesis of 3D networks-on-chip with multiple voltage islands. In Proceedings of the International Conference on VLSI Design (VLSID'12). 262--267.
    [16]
    Changkyu Kim, Doug Burger, and Stephen W. Keckler. 2002. An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS'02). 211--222.
    [17]
    Jongman Kim, Chrysostomos Nicopoulos, Dongkook Park, Reetuparna Das, Yuan Xie, Narayanan Vijaykrishnan, Mazin S. Yousif, and Chita R. Das. 2007. A novel dimensionally-decomposed router for on-chip communication in 3D architectures. In Proceedings of the International Symposium on Computer Architecture (ISCA'07). 138--149.
    [18]
    Michihiro Koibuchi, Akira Funahashi, Akiya Jouraku, and Hideharu Amano. 2001. L-turn routing: An adaptive routing in irregular networks. In Proceedings of the International Conference on Parallel Processing (ICPP'01). 383--392.
    [19]
    M. Pawan Kumar, Anish S. Kumar, Srinivasan Murali, Luca Benini, and Kamakoti Veezhinathan. 2011. A method for integrating network-on-chip topologies with 3D ICs. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'11). 60--65.
    [20]
    Jinho Lee, Dongwoo Lee, Sunwook Kim, and Kiyoung Choi. 2013a. Deflection routing in 3D network-on-chip with limited vertical bandwidth. ACM Trans. Des. Autom. Electron. Syst. 18, 50.
    [21]
    Jinho Lee, Dongwoo Lee, Sunwook Kim, and Kiyoung Choi. 2013b. Deflection routing in 3D network-on-chip with TSV serialization. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'13). 29--34.
    [22]
    Feihui Li, Chrysostomos Nicopoulos, Thomas Richardson, Yuan Xie, and Narayanan Vijaykrishnan. 2006. Design and management of 3D chip multiprocessors using network-in-memory. In Proceedings of the International Symposium on Computer Architecture (ISCA'06). 130--141.
    [23]
    Cheng Liu, Lei Zhang, Yinhe Han, and Xiaowei Li. 2011. Vertical interconnects squeezing in symmetric 3D mesh network-on-chip. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'11). 357--362.
    [24]
    Gabriel H. Loh. 2008. 3D-stacked memory architectures for multi-core processors. In Proceedings of the International Symposium on Computer Architecture (ISCA'08). 453--464.
    [25]
    Igor Loi, Federico Angiolini, Shinobu Fujita, Subhasish Mitra, and Luca Benini. 2011. Characterization and implementation of fault-tolerant vertical links for 3D networks-on-chip. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 30, 124--134.
    [26]
    Olav Lysne, Tor Skeie, Sven-Arne Reinemo, and Ingebjørg Theiss. 2006. Layered routing in irregular networks. IEEE Trans. Parallel Distrib. Syst. 17, 51--65.
    [27]
    Hiroki Matsutani, Paul Bogdan, Radu Marculescu, Yasuhiro Take, Daisuke Sasaki, Hao Zhang, Michihiro Koibuchi, Tadahiro Kuroda, and Hideharu Amano. 2013. A case for wireless 3D NoCs for CMPs. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC'13). 23--28.
    [28]
    Andres Mejia, Jose Flich, Jose Duato, Sven-Arne Reinemo, and Tor Skeie. 2006. Segment-based routing: An efficient fault-tolerant routing algorithm for meshes and tori. In Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS'06). 105.
    [29]
    Jin Ouyang, Jing Xie, Matthew Poremba, and Yuan Xie. 2010. Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chip. In Proceedings of the International Conference on Computer-Aided Design (ICCAD'10). 477--482.
    [30]
    Dongkook Park, Soumya Eachempati, Reetuparna Das, Asit K. Mishra, Yuan Xie, Narayanan Vijaykrishnan, and Chita R. Das. 2008. MIRA: A multi-layered on-chip interconnect router architecture. In Proceedings of the International Symposium on Computer Architecture (ISCA'08). 251--261.
    [31]
    Sudeep Pasricha. 2009. Exploring serial vertical interconnects for 3D ICs. In Proceedings of the Design Automation Conference (DAC'09). 581--586.
    [32]
    Sudeep Pasricha. 2012. A framework for TSV serialization-aware synthesis of application specific 3D networks-on-chip. In Proceedings of the International Conference on VLSI Design (VLSID'12). 268--273.
    [33]
    Amir-Mohammad Rahmani, Khalid Latif, Kameswar Rao Vaddina, Pasi Liljeberg, Juha Plosila, and Hannu Tenhunen. 2011. Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures. In Proceedings of the International Symposium on Networks-on-Chip (NoCS'11). 65--72.
    [34]
    José Carlos Sancho, Antonio Robles, and José Duato. 2000a. A flexible routing scheme for networks of workstations. In Proceedings of the International Symposium on High Performance Computing (ISHPC'00). 260--267.
    [35]
    José Carlos Sancho, Antonio Robles, and José Duato. 2000b. A new methodology to compute deadlock free REDELF: An energy-efficient deadlock-free routing for 3-D NoCs with partial vertical connections 23routing tables for irregular networks. In Network-Based Parallel Computing. Communication, Architecture, and Applications. Springer, 45--60.
    [36]
    Michael D. Schroeder, Andrew D. Birrell, Michael Burrows, Hal Murray, Roger M. Needham, Thomas L. Rodeheffer, Edwin H. Satterthwaite, and Charles P. Thacker. 1991. Autonet: A high-speed, self configuring local area network using point-to-point links. IEEE J. Select. Areas Comm. 9, 1318--1335.
    [37]
    Ciprian Seiculescu, Srinivasan Murali, Luca Benini, and Giovanni De Micheli. 2009. SunFloor 3D: A tool for networks on chip topology synthesis for 3D systems on chips. In Proceedings of the Design, Automation, and Test in Europe Conference (DATE'09). 9--14.
    [38]
    Tor Skeie, Olav Lysne, and Ingebjørg Theiss. 2002. Layered shortest path (LASH) routing in irregular system area networks. In Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS'02). 162--169.
    [39]
    Tor Skeie, Frank Olaf Sem-Jacobsen, Samuel Rodrigo, José Flich, Davide Bertozzi, and Simone Medardoni. 2009. Flexible DOR routing for virtualization of multicore chips. In Proceedings of the International Symposium on System-on-Chip (SoC'09). 73--76.
    [40]
    Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason Miller, Anant Agarwal, Li-Shiuan Peh, and Vladimir Stojanovic. 2012. DSENT-A tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the International Symposium on Networks-on-Chip (NoCS'12). 201--210.
    [41]
    Tilera. 2009. The TILE-GxTM processor family. http://www.tilera.com/products/processors.
    [42]
    Paul Wettin, Jacob Murray, Ryan Kim, Xinmin Yu, Partha Pratim Pande, and Deukhyoun Heo. 2014. Performance evaluation of wireless NoCs in presence of irregular network routing strategies. In Proceedings of the Design, Automation, and Test in Europe Conference (DATE'14). 1--6.
    [43]
    Steven Cameron Woo, Moriyoshi Ohara, Evan Torrie, Jaswinder Pal Singh, and Anoop Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the International Symposium on Computer Architecture (ISCA'95). 24--36.
    [44]
    Jie Wu and Li Sheng. 1999. Deadlock-free routing in irregular networks using prefix routing. In Proceedings of the International Symposium on Computer Architecture (ISCA'99). 424--430.
    [45]
    Thomas Canhao Xu, Pasi Liljeberg, and Hannu Tenhunen. 2010. A study of through silicon via impact to 3D network-on-chip design. In Proceedings of the International Conference on Electronics and Information Engineering (ICEIE'10). V1-333--V1-337.
    [46]
    Yi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, and Jun Yang. 2009. A low-radix and low-diameter 3D interconnection network design. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA'09). 30--42.
    [47]
    Shan Yan and Bill Lin. 2011. Design of application-specific 3D networks-on-chip architectures. In 3D Integration for NoC-Based SoC Architectures. Springer, 167--191.
    [48]
    Mingyang Zhu, Jinho Lee, and Kiyoung Choi. 2012. An adaptive routing algorithm for 3D mesh NoC with limited vertical bandwidth. In Proceedings of the International Conference on VLSI and System-on-Chip (VLSI-SoC'12). 18--23.

    Cited By

    View all
    • (2023)AdEle+: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D Networks-on-ChipIEEE Transactions on Computers10.1109/TC.2023.324826072:8(2278-2292)Online publication date: 1-Aug-2023
    • (2021)Optimizing Vertical Link Placement and Congestion Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.303833840:10(1957-1970)Online publication date: Oct-2021
    • (2021)AdEle: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D NoCs2021 58th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC18074.2021.9586174(67-72)Online publication date: 5-Dec-2021
    • Show More Cited By

    Index Terms

    1. REDELF: An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections

      Recommendations

      Reviews

      SeonYeong Han

      Network-on-chip (NoC) is used for routing packets among multiple functional modules such as memory blocks, processors, communication blocks, and so on in 3D integrated circuits (ICs). A 3D IC installs vertical links on routers using through-silicon vias to transfer packets among different layers. Traditionally, each vertical link includes two virtual channels for ascending and descending packets to avoid deadlock. Since a virtual channel takes space, energy, and delay cost, there is a need to reduce the number of required virtual channels. The major contribution of this paper is the algorithm to eliminate the dependency on the virtual channels (VCs) for deadlock-free routing. The authors proposed routing rule sets under the assumption of mesh network topology that restricts the selection of a vertical link so that no two packets are transferred through the same vertical link. Based on the rule sets, deadlock-free routing is achieved without VCs. The rule sets are extended for irregular partial vertical links and heterogeneous mesh layers. To evaluate the performance and energy consumption, the proposed solution is compared with a baseline elevator-first algorithm and the proposed algorithm with VCs. Simulation results show that the proposed algorithm with VCs outperforms the proposed one without VCs and the baseline because the proposed algorithm uses the virtual channels efficiently. Overall, the proposed algorithm shows benefits in performance and energy saving. Since the algorithm restricts the selection of the vertical links, it is possible to select a non-shortest path. It is interesting to see the non-shortest path selection policy results in reduced delay and energy consumption. The major reason for this is that having no competition in a vertical link reduces the overall running time. As noted in the paper, the relative benefit decreases as the number of VCs increases because the possibility of competition in a vertical link decreases. Another interesting point in this paper is that the VCs are advantageous to the proposed algorithm even though the algorithm was designed to eliminate the dependency on the VCs. The original algorithm without VCs even shows worse performance than the baseline. For better understanding about the impact of the VCs, it would be interesting to know if there are simulation results showing the performance and energy consumption when VCs are installed in only part of vertical links. Online Computing Reviews Service

      Access critical reviews of Computing literature here

      Become a reviewer for Computing Reviews.

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 12, Issue 3
      Special Issue on Cross-Layer System Design and Regular Papers
      September 2015
      207 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2828988
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 21 September 2015
      Accepted: 01 March 2015
      Revised: 01 January 2015
      Received: 01 October 2014
      Published in JETC Volume 12, Issue 3

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. 3D stacking
      2. NoC
      3. deadlock
      4. routing algorithm

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      • National Research Foundation of Korea (NRF)
      • Korean government (MEST)

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)4
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 26 Jul 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)AdEle+: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D Networks-on-ChipIEEE Transactions on Computers10.1109/TC.2023.324826072:8(2278-2292)Online publication date: 1-Aug-2023
      • (2021)Optimizing Vertical Link Placement and Congestion Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.303833840:10(1957-1970)Online publication date: Oct-2021
      • (2021)AdEle: An Adaptive Congestion-and-Energy-Aware Elevator Selection for Partially Connected 3D NoCs2021 58th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC18074.2021.9586174(67-72)Online publication date: 5-Dec-2021
      • (2019)Congestion-Aware Dynamic Elevator Assignment for Partially Connected 3D-NoCs2019 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2019.8702434(1-5)Online publication date: May-2019
      • (2018)First-Last: A Cost-Effective Adaptive Routing Solution for TSV-Based Three-Dimensional Networks-on-ChipIEEE Transactions on Computers10.1109/TC.2018.282226967:10(1430-1444)Online publication date: 1-Oct-2018
      • (2018)LEAD: An Adaptive 3D-NoC Routing Algorithm with Queuing-theory Based Analytical VerificationIEEE Transactions on Computers10.1109/TC.2018.2801298(1-1)Online publication date: 2018
      • (2018)3D MAX: A Maximally Adaptive Routing Method for VC-less 3D Mesh-based Networks-on-Chip2018 11th International Workshop on Network on Chip Architectures (NoCArc)10.1109/NOCARC.2018.8541255(1-6)Online publication date: Oct-2018
      • (2018)Modular routing design for chiplet-based systemsProceedings of the 45th Annual International Symposium on Computer Architecture10.1109/ISCA.2018.00066(726-738)Online publication date: 2-Jun-2018
      • (2018)Topological Response to Deadlock Detection and Resolution in Real-Time Database Systems2018 IEEE International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, Physical and Social Computing (CPSCom) and IEEE Smart Data (SmartData)10.1109/Cybermatics_2018.2018.00312(1880-1887)Online publication date: Jul-2018
      • (2017)Problems and challenges of emerging technology networksonchipMicroprocessors & Microsystems10.1016/j.micpro.2017.07.00453:C(1-20)Online publication date: 1-Aug-2017

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media