Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/277830.277898acmconferencesArticle/Chapter ViewAbstractPublication PagesicsConference Proceedingsconference-collections
Article
Free access

Evaluation of high performance multicache parallel texture mapping

Published: 13 July 1998 Publication History
First page of PDF

References

[1]
Jean-Luc Bechennec. Architecture Simulation Framework. http://www.lri.fr/~osmose, 1998.
[2]
Andrew C. Beers, Maneesh Agrawala, and Navin Chaddha. Rendering from compressed textures. In Computer Graphics (Proceedings SIGGRAPH), pages 373- 376, 1996.
[3]
James F. Blirm. The truth about texture mapping. IEEE Computer Graphics and Applications, 10(2):78- 83, March 1990.
[4]
Ed. Catmull. A Subdivision Algorithm for Computer Display of Curved Surface. PhD thesis, University of Utah, 1974.
[5]
Apple Computer. 3D Graphic programming with Quick- Draw3D. Addison Wesley, 1995.
[6]
J. Craig Dunwoody and Mark A. Linton. ~racing interactive 3d graphics programs. In Computer Graphics (1990 Symposium on Interactive 3D Graphics), pages 155-163, 1990.
[7]
Nader Gharachorloo, Satish Gupta, Robert F. Sproull, and Ivan E. Sutherland. A characterization of ten rasterization techniques. In Computer Graphics (Proceedings SIGGRAPH), pages 355-368, 1989.
[8]
Peter N. Glaskowsky. Advanced 3d chips show promise. Microprocessor Report, 11(8):6-9, June 1997.
[9]
Linley Gwennap. Digital, mips add multimedia extensions. Microprocessor Report Volume l Oj Number 15, pages 24-28, 1996.
[10]
Linley Gwennap. Cayenne spices up cyrix's 6x86mx. Microprocessor Report, 11(14):22-24, October 1997.
[11]
Ziyad S. Hakura and Anoop Gupta. The design and analysis of a cache architecture for texture mapping. In Proceedings of the 2.~th {nternationnal Symposium on Computer Architecture, pages 108-120, 1997.
[12]
D. Kroft. Lockup-free instruction fetch/prefetch cache organization. In Proceedings o/the 8th {nternationhal Symposium on Computer Architecture, pages 81-87, 1981.
[13]
Microsoft. Microsoft DirectX 3 SDK : Direct3D Overview, 1996.
[14]
Steven Molnar, Michael Cox, David EUsworth, and Henry Fuchs. A sorting classification of parallel rendering. IEEE Computer Graphics and Applications, 14(4):23-32, July 1994.
[15]
Steven Molnar, John Eyles, and John Poulton. Pixelfiow : High-speed rendering using image composition. In Computer Graphics (Proceedings SIGGRAPH), pages 231-240, 1992.
[16]
John S. Montrym, Daniel R. Baum, David L. Dignam, and Christopher J. Migdal. Infinitereality: A real-time graphics system,. In Computer Graphics (1997 Symposlum on Interactive 3D Graphics), pages 293-302, 1997.
[17]
David Patterson, Thomas Anderson, Neal Cardwell, Richard Frommm, Kimberly Keeton, Christoforos Kozyrakis, Randi Thomas, and Katherine Yelik. A case for intelligent ram. iEEE Micro, 17(2):34-43, March 1997.
[18]
Steven Przybylski. Sdrams ready to enter pc mainstream. Microprocessor Report, 10(6):17-23, 1996.
[19]
Martin Randall. Talisman: Multimedia for the pc. IEEE Micro, 17(2):11-19, March/April 1997.
[20]
Jude A. Rivers, Gary S. Tyson, Edward S. Davidson, and Todd M. Austin. On high-bandwidth data cache design for multi-issue processors. In Proceedings o/the 30thAnnual International Symposium on Microarchitecture, pages 46-56, 1997.
[21]
Mark Segal and Kurt Akelay. the OpenGL Graphics System, 1996.
[22]
Dean M. TulIsen and Susan J. Eggers. Limitations of cache prefetching on a bus-based multiprocessor. In Proceedings of the ~Oth Internationnal Symposium on Computer Architecture, pages 278-288, 1993.
[23]
Alan Watt and Mark Watt. Advanced Animation and Rendering Techniques. Addison Wesley, 1992.
[24]
Stephanie Winner, Mike Kelley, Bill Rivard, and Alex Yen. Hardware accelerated rendering of antialiasing using a modified a-buffer algorithm. In Computer Graphics (1997 Symposium on interactive 3D Graphics), pages 307-316, 1997.
[25]
Steven Cameron Woo, Moriyoshi Ohara, Even Torrie, J aswinder Pal Singh, and Anoop Gupta. The splash-2 programs: Characterization and methodological considerations, in Proceedings o/ the .~,~th Internationnal Symposium on Computer Architecture, pages 24-36, 1995.
[26]
Yong Yao. Chromatic's mpact2 boosts 3d. Microprocessor Report, 10(15):6-10, November 1996.

Cited By

View all
  • (2016)Exploiting Dynamic Reuse Probability to Manage Shared Last-level Caches in CPU-GPU Heterogeneous ProcessorsProceedings of the 2016 International Conference on Supercomputing10.1145/2925426.2926266(1-14)Online publication date: 1-Jun-2016
  • (2013)Efficient management of last-level caches in graphics processors for 3D scene rendering workloadsProceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/2540708.2540742(395-407)Online publication date: 7-Dec-2013
  • (2006)A cost-effective VLSI architecture for anisotropic texture filtering in limited memory bandwidthIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2006.87176114:3(254-267)Online publication date: 1-Mar-2006
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICS '98: Proceedings of the 12th international conference on Supercomputing
July 1998
464 pages
ISBN:089791998X
DOI:10.1145/277830
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 July 1998

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ICS98
Sponsor:

Acceptance Rates

Overall Acceptance Rate 629 of 2,180 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)49
  • Downloads (Last 6 weeks)3
Reflects downloads up to 15 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Exploiting Dynamic Reuse Probability to Manage Shared Last-level Caches in CPU-GPU Heterogeneous ProcessorsProceedings of the 2016 International Conference on Supercomputing10.1145/2925426.2926266(1-14)Online publication date: 1-Jun-2016
  • (2013)Efficient management of last-level caches in graphics processors for 3D scene rendering workloadsProceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/2540708.2540742(395-407)Online publication date: 7-Dec-2013
  • (2006)A cost-effective VLSI architecture for anisotropic texture filtering in limited memory bandwidthIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2006.87176114:3(254-267)Online publication date: 1-Mar-2006
  • (2001)Cache performance for multimedia applicationsProceedings of the 15th international conference on Supercomputing10.1145/377792.377833(204-217)Online publication date: 17-Jun-2001
  • (1999)Parallel texture cachingProceedings of the ACM SIGGRAPH/EUROGRAPHICS workshop on Graphics hardware10.1145/311534.311583(95-106)Online publication date: 1-Jul-1999
  • (1999)The best distribution for a parallel OpenGL 3D engine with texture cachesProceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550)10.1109/HPCA.2000.824368(399-408)Online publication date: 1999

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media