Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Comparative Area and Parasitics Analysis in FinFET and Heterojunction Vertical TFET Standard Cells

Published: 25 May 2016 Publication History

Abstract

Vertical tunnel field-effect transistors (VTFETs) have been extensively explored to overcome the scaling limits and to improve on-current (ION) compared to standard lateral device structures for the future technologies. The benefits in terms of reduced footprint, high ION and feasibility of fabrication have been demonstrated in several works. Among various VTFETs, the asymmetric heterojunction vertical tunnel FETs (HVTFETs) have emerged as one of the promising alternatives to standard transistors for low-voltage applications. However, while such device-level benefits without parasitics have been widely investigated, logic-gate design with parasitics and layout implications are not clear. In this article, we investigate and compare the layouts and parasitic capacitances and resistances of HVTFETs with FinFETs. Due to the vertical device structure of HVTFETs, a smaller footprint is observed compared to FinFETs in cells with small fan-in. However, for high fan-in cells, HVTFETs exhibit area overheads due to infeasibility of contact sharing in parallel and series transistors. These area overheads also lead to approximately 48% higher parasitic capacitance and resistance compared to FinFETs when the number of parallel and series connections increases. Further, in order to analyze the impact of parasitics, we modeled the analytical parasitics in SPICE. The models for both HVTFETs and FinFETs with parasitics were used to simulate a 15-stage inverter-based ring oscillator (RO) in order to compare the delay and energy. Our simulation results clearly show that HVTFETs exhibit less delay at a VDD < 0.45 V and higher energy efficiency for VDDs in the range of 0.3V--0.7V, albeit at the cost of 8% performance degradation.

References

[1]
M. Alioto. 2011. Comparative evaluation of layout density in 3T, 4T, and MT FinFET standard cells. IEEE Transactions on Very Large Scale Integration Systems 19, 5, 751--762.
[2]
K. G. Anil et al. 2003. Layout density analysis of FinFETs. 33rd Conference on European Solid-State Device Research.
[3]
M. G. Bardon et al. 2015. Dimensioning for power and performance under 10nm: The limits of FinFETs scaling. International Conference on IC Design and Technology (ICICDT’15).
[4]
A. N. Bhoj et al. 2013. 3-D-TCAD-based parasitic capacitance extraction for emerging multigate devices and circuits. IEEE Transactions on Very Large Scale Integration Systems 21, 11, 2094--2105.
[5]
A. Biddle and Jason S. T. Chen. 2013. FinFET technology -- understanding and productizing a new transistor. A Joint Whitepaper from TSMC and Synopsys.
[6]
Y. K. Choi et al. 2001. Sub-20 nm CMOS FinFET technologies 2001. International Electron Devices Meeting, (IEDM’01). 19.1.1--19.1.4, 2--5.
[7]
T. Cui et al. 2014. 7nm FinFET standard cell layout characterization and power density prediction in near- and super-threshold voltage regimes. International Green Computing Conference (IGCC’14).
[8]
S. Datta et al. 2013. Tunnel transistors for energy efficient computing. IEEE International Reliability Physics Symposium (IRPS’13).
[9]
F. He et al. 2010. FinFET: From compact modeling to circuit performance. IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC’10).
[10]
U. Heo et al. 2015. A high-efficiency switched-capacitance HTFET charge pump for low-input-voltage applications. In IEEE VLSI Design.
[11]
C. Hou et al. 2013. TSMC Technology Symposium 2013: Progress in 20nm, 16nm FinFET, and 3D-IC Technologies. Retrieved April 18, 2016 from http://community.cadence.com/cadence_blogs_8/b/ii/archive/2013/04/14/tsmc-2013-symposium-progress-in-20nm-16nm-finfet-and-3d-ic-technologies.
[12]
C. H. Jan et al. 2012. A 22nm SoC platform technology featuring 3-D tri-gate and high-k/metal gate, optimized for ultra low power, high performance and high density SoC applications. IEEE International Electron Devices Meeting (IEDM’12).
[13]
M. S. Kim et al. 2014. A steep-slope tunnel FET based SAR analog-to-digital converter. IEEE Transactions on Electron and Devices 61, 11.
[14]
M. S. Kim et al. 2014. Enabling power-efficient designs with III-V tunnel FETs. IEEE Compound Semiconductor Integrated Circuit Symposium (CSICs’14).
[15]
M. S. Kim et al. 2015. Comparing energy, area, delay tradeoffs in going vertical with CMOS and asymmetric HTFETs. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI’15). Montpellier.
[16]
K. J. Kuhn et al. 2010. Technology options for 22nm and beyond. 2010 International Workshop on Junction Technology (IWJT’10).
[17]
K. J. Kuhn. 2012. Considerations for ultimate CMOS scaling. IEEE Transactions on Electron Devices 59, 7, 1813--1828.
[18]
J. Lacord, G. Ghibaudo, and F. Boeuf. 2012. Comprehensive and accurate parasitic capacitance models for two- and three-dimensional CMOS device structures. IEEE Transactions on Electron Devices 59, 5, 1332--1344.
[19]
H. Liu et al. 2012. Exploration of vertical MOSFET and tunnel FET device architecture for sub-10nm node applications. Annual Device Research Conference (DRC’12).
[20]
H. Liu, S. Datta, and V. Narayanan. 2013. Steep switching tunnel FET: A promise to extend the energy efficient roadmap for post-CMOS digital and analog/RF applications. IEEE International Symposium on Low Power Electronics and Design (ISLPED’13).
[21]
H. Liu et al. 2014. III-V Tunnel FET Model 1.0.1. Retrieved April 18, 2016 from https://nanohub.org/publications/12.
[22]
H. Liu et al. 2014. Tunnel FET RF rectifier design for energy harvesting applications. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 4, 4, 400, 411.
[23]
D. K. Mohata et al. 2012. Demonstration of improved heteroepitaxy, scaled gate stack and reduced interface states enabling heterojunction tunnel FETs with high drive current and high on-off ratio. 2012 Symposium on VLSI Technology (VLSIT’12).
[24]
S. Natarajan et al. 2014. A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 &mu;m2 SRAM cell size. In IEEE International Electron Devices Meeting (IEDM’14), 3.7.1--3.7.3, 15--17.
[25]
C. Pacha et al. 2006. Circuit design issues in multi-gate FET CMOS technologies. IEEE International Solid-State Circuits Conference (ISSCC’06). ISSCC.2006.1696221
[26]
B. Rajamohanan et al. 2013. Low-temperature atomic-layer-deposited high-k dielectric for p-Channel In0.7Ga0.3As/GaAs0.35Sb0.65 heterojunction tunneling field-effect transistor. Applied Physics Express.
[27]
S. Salas Rodriguez et al. 2013. Parasitic gate capacitance model for triple-gate FinFETs. IEEE Transactions on Electron Devices.
[28]
V. Saripalli et al. 2011. Exploiting heterogeneity for energy efficiency in chip multiprocessors. IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
[29]
A. C. Seabaugh and Q. Zhang. 2010. Low-voltage tunnel transistors for beyond CMOS Logic. In Proceedings of the IEEE 98, 12, 2095--2110.
[30]
K. Swaminathan et al. 2014. Steep slope devices: Enabling new architectural paradigms. Design Automation Conference (DAC’14).
[31]
S. E. Thompson et al. 2005. In search of “Forever,” continued transistor scaling one new material at a time. In IEEE Transactions on Semiconductor Manufacturing.
[32]
W. C. Wang and P. Gupta. 2014. Efficient layout generation and evaluation of vertical channel devices. IEEE/ACM ICCAD’14.
[33]
L. Wei, F. Boeuf, T. Skotnicki, and H. S. P. Wong. 2009. CMOS technology roadmap projection including parasitic effects. VLSI-TSA.
[34]
W. Wu and M. Chan. 2007. Analysis of geometry-dependent parasitics in multifin double-gate FinFETs. IEEE Transactions on Electron Devices.
[35]
Q. Xie et al. 2014. 5nm FinFET standard cell library optimization and circuit synthesis in near-and super-threshold voltage regimes. ISVLSI’14.
[36]
D. Yakimets et al. 2015a. Vertical GAAFETs for the ultimate CMOS scaling. IEEE Transactions on Electron Devices 62, 5, 1433--1439.
[37]
D. Yakimets et al. 2015b. Lateral NWFET optimization for beyond 7nm nodes. International Conference on IC Design and Technology (ICICDT’15), 1--4, 1--3.
[38]
B. Yu et al. 2002. FinFET scaling to 10 nm gate length. International Electron Devices Meeting (IEDM’02). 251--254.

Cited By

View all
  • (2024)Analysis on density of states and ION/IOFF ratio of GaN/GaN-graphene nanoribbon tunnel FET for enhanced bio-sensing applicationsPhysica Scripta10.1088/1402-4896/ad8c01Online publication date: 28-Oct-2024
  • (2024)Modeling and Simulation of Quantum State Distribution in Graphene Nanoribbon GaN/InSb TFETs for High-Precision Biosensing ApplicationsSensing and Imaging10.1007/s11220-024-00527-926:1Online publication date: 2-Dec-2024
  • (2023) Investigation of Optimal Architecture of MoS 2 Channel Field-Effect Transistors on a Sub-2 nm Process Node ACS Applied Electronic Materials10.1021/acsaelm.3c000965:4(2239-2248)Online publication date: 3-Apr-2023
  • Show More Cited By

Index Terms

  1. Comparative Area and Parasitics Analysis in FinFET and Heterojunction Vertical TFET Standard Cells

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Journal on Emerging Technologies in Computing Systems
      ACM Journal on Emerging Technologies in Computing Systems  Volume 12, Issue 4
      Regular Papers
      July 2016
      394 pages
      ISSN:1550-4832
      EISSN:1550-4840
      DOI:10.1145/2856147
      • Editor:
      • Yuan Xie
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 25 May 2016
      Accepted: 01 April 2016
      Revised: 01 March 2016
      Received: 01 September 2015
      Published in JETC Volume 12, Issue 4

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. FinFET
      2. Standard cell
      3. and parasitic resistance
      4. area
      5. asymmetric vertical TFET
      6. layout
      7. parasitic capacitance
      8. tunnel FET

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      • one of the six SRC STARnet Centers
      • MARCO and DARPA
      • NSF
      • Center for Low Energy Systems Technology (LEAST)

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)35
      • Downloads (Last 6 weeks)2
      Reflects downloads up to 04 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Analysis on density of states and ION/IOFF ratio of GaN/GaN-graphene nanoribbon tunnel FET for enhanced bio-sensing applicationsPhysica Scripta10.1088/1402-4896/ad8c01Online publication date: 28-Oct-2024
      • (2024)Modeling and Simulation of Quantum State Distribution in Graphene Nanoribbon GaN/InSb TFETs for High-Precision Biosensing ApplicationsSensing and Imaging10.1007/s11220-024-00527-926:1Online publication date: 2-Dec-2024
      • (2023) Investigation of Optimal Architecture of MoS 2 Channel Field-Effect Transistors on a Sub-2 nm Process Node ACS Applied Electronic Materials10.1021/acsaelm.3c000965:4(2239-2248)Online publication date: 3-Apr-2023
      • (2022)Analysis of modified P-I-N tunnel FET architecture for applications in low power domainMaterials Today: Proceedings10.1016/j.matpr.2022.09.44971(377-382)Online publication date: 2022
      • (2021)Mathematical Modeling for Losses in Optical Waveguides With Porous Silica CladdingIEEE Sensors Journal10.1109/JSEN.2020.303551521:4(4747-4754)Online publication date: 15-Feb-2021
      • (2020)Device-Circuit Interaction and Performance Benchmarking of Tunnel Transistor-Based Ex-OR Gates for Energy Efficient ComputingJournal of Circuits, Systems and Computers10.1142/S0218126620502357(2050235)Online publication date: 30-Jun-2020
      • (2020)Epitaxial SiGe seed layer thickness for PFET performance tuning2020 31st Annual SEMI Advanced Semiconductor Manufacturing Conference (ASMC)10.1109/ASMC49169.2020.9185272(1-4)Online publication date: Aug-2020
      • (2019)SRAMs and DRAMs With Separate Read–Write Ports Augmented by Phase Transition MaterialsIEEE Transactions on Electron Devices10.1109/TED.2018.288891366:2(929-937)Online publication date: Feb-2019
      • (2019)Ambipolar SB-FinFETs: A New Path to Ultra-Compact Sub-10 nm Logic CircuitsIEEE Transactions on Electron Devices10.1109/TED.2018.287400066:1(255-263)Online publication date: Jan-2019
      • (2019)Influence of SiGe on Parasitic Parameters in PMOS2019 China Semiconductor Technology International Conference (CSTIC)10.1109/CSTIC.2019.8755739(1-3)Online publication date: Mar-2019
      • Show More Cited By

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media