Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3063955.3063997acmotherconferencesArticle/Chapter ViewAbstractPublication Pagesacm-turcConference Proceedingsconference-collections
research-article

Exploiting contention and congestion aware switch allocation in network-on-chips

Published: 12 May 2017 Publication History

Abstract

Network-on-chip system plays an important role to improve the performance of chip multiprocessor systems. As the complexity of the network increases, congestion problem has become the major performance bottleneck and seriously influence the performance of NoCs. Prior works have focused on designing effective routing algorithm based on collecting congestion and contention information to load balance the traffic. However, most prior works do not consider balancing the traffic load during switch allocation. Due to the lack of congestion information in switch allocation stage, switch allocator performs allocation only based on packet requests and thus aggravates the congestion in the ports of switch. In this paper, we propose to add the congestion and contention information into the switch allocation process and design an efficient on-chip switching strategy which utilizes the contention and congestion information to load balance the traffic and achieve efficient switch allocation. To further enhance the performance of our design, we carefully design our switch allocation strategy to balance the trade-off between traffic load balance and the matching efficiency in switch allocation. We evaluate our design under synthetic traffic and trace of parsec benchmarks. Our evaluations show this mechanism achieves optimal latency compared to best previous switch allocation strategies.

References

[1]
Minseon Ahn and Eun Jung Kim. 2010. Pseudo-circuit: Accelerating communication for on-chip interconnection networks. In Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 399--408.
[2]
Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi, and Davide Patti. 2008. Implementation and analysis of a new selection strategy for adaptive routing in networks-on-chip. IEEE Transations on Computers 57, 6 (2008), 809--820.
[3]
James Balfour and William J Dally. 2006. Design tradeoffs for tiled CMP on-chip networks. In Proceedings of the 20th Annual ACM International Conference on Supercomputing (ICS). 187--198.
[4]
Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, and Kai Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th ACM International Conference on Parallel Architectures and Compilation Techniques (ICPACT). 72--81.
[5]
En-Jui Chang, Hsien-Kai Hsin, Shu-Yen Lin, and An-Yeu Wu. 2014. Path-congestion-aware adaptive routing with a contention prediction scheme for network-on-chip systems. IEEE Transactions on computer-aided design of Integrated circuits and systems 33, 1 (2014), 113--126.
[6]
Yuan-Ying Chang, Yoshi Shih-Chieh Huang, Matthew Poremba, Vijaykrishnan Narayanan, Yuan Xie, and Chung-Ta King. 2013. Ts-router: On maximizing the quality-of-allocation in the on-chip network. In Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture (HPCA). 390--399.
[7]
Lizhong Chen and Timothy M Pinkston. 2012. Nord: Noderouter decoupling for effective power-gating of on-chip routers. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 270--281.
[8]
William James Dally and Brian Patrick Towles. 2004. Principles and practices of interconnection networks. Elsevier.
[9]
Masoumeh Ebrahimi, Xin Chang, Masoud Daneshtalab, Juha Plosila, Pasi Liljeberg, and Hannu Tenhunen. 2013. DyXYZ: Fully adaptive routing algorithm for 3D NoCs. In Proceedings of the 21st IEEE Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP). 499--503.
[10]
Chaochao Feng, Zhonghai Lu, Axel Jantsch, Jinwen Li, and Minxuan Zhang. 2010. A reconfigurable fault-tolerant deflection routing algorithm based on reinforcement learning for network-on-chip. In Proceedings of the Third ACM International Workshop on Network on Chip Architectures. 11--16.
[11]
Binzhang Fu, Yinhe Han, Huawei Li, and Xiaowei Li. 2011. A new multiple-round dimension-order routing for networks-on-chip. IEICE TRANSACTIONS on Information and Systems 94, 4 (2011), 809--821.
[12]
Binzhang Fu, Yinhe Han, Jun Ma, Huawei Li, and Xiaowei Li. 2011. An abacus turn model for time/space-efficient reconfigurable routing. ACM SIGARCH Computer Architecture News 39, 3 (2011), 259--270.
[13]
Pablo Fuentes, Enrique Vallejo, Marina García, Ramón Beivide, Germán Rodríguez, Cyriel Minkenberg, and Mateo Valero. 2015. Contention-based nonminimal adaptive routing in high-radix networks. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium (IPDPS). 103--112.
[14]
Mike Galles. 1996. Scalable pipelined interconnect for distributed endpoint routing: The SGI SPIDER chip. In Proceedings of the 4th Annual IEEE Symposium on High-Performance Interconnects (HOTI), Vol. 96.
[15]
Miguel Gorgues, Dong Xiang, José Flich, Zhigang Yu, and José Duato. 2014. Achieving balanced buffer utilization with a proper co-design of flow control and routing algorithm. In Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS). 25--32.
[16]
Paul Gratz, Boris Grot, and Stephen W Keckler. 2008. Regional congestion awareness for load balance in networks-on-chip. In Proceedings of the 14th IEEE International Symposium on High Performance Computer Architecture (HPCA). 203--214.
[17]
Joel Hestness and Stephen W Keckler. 2011. Netrace: Dependency-tracking traces for efficient network-on-chip experimentation. The University of Texas at Austin, Dept. of Computer Science, Tech. Rep (2011).
[18]
Jingcao Hu and Radu Marculescu. 2004. DyAD: smart routing for networks-on-chip. In Proceedings of the 41st Annual ACM Design Automation Conference (DAC). 260--263.
[19]
Syed Ali Raza Jafri, Hamza Bin Sohail, Mithuna Thottethodi, and TN Vijaykumar. 2013. apSLIP: A High-performance Adaptive-Effort Pipelined Switch Allocator. (2013).
[20]
John Kim, William J Dally, and Dennis Abts. 2007. Flattened butterfly: a cost-efficient topology for high-radix networks. ACM SIGARCH Computer Architecture News 35, 2 (2007), 126--137.
[21]
Jongman Kim, Dongkook Park, Theo Theocharides, Narayanan Vijaykrishnan, and Chita R Das. 2005. A low latency router supporting adaptivity for on-chip interconnects. In Proceedings of the 42nd annual ACM Design Automation Conference (DAC). 559--564.
[22]
Rakesh Kumar, Victor Zyuban, and Dean M Tullsen. 2005. Interconnections in multi-core architectures: Understanding mechanisms, overheads and scaling. ACM SIGARCH Computer Architecture News 33, 2 (2005), 408--419.
[23]
Cunlu Li, Dezun Dong, Xiangke Liao, Ji Wu, and Fei Lei. 2016. RoB-Router: Low Latency Network-on-Chip Router Microarchitecture Using Reorder Buffer. In Proceedings of the 24th Annual IEEE Symposium on High-Performance Interconnects (HOTI). 68--75.
[24]
Sheng Ma, Natalie Enright Jerger, and Zhiying Wang. 2012. Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip. In Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture (HPCA). 1--12.
[25]
Nick McKeown. 1999. The iSLIP scheduling algorithm for input-queued switches. IEEE/ACM Transactions on Networking 7, 2 (1999), 188--201.
[26]
George Michelogiannakis, Nan Jiang, Daniel Becker, and William J Dally. 2011. Packet chaining: Efficient single-cycle allocation for on-chip networks. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 83--94.
[27]
Shubhendu S Mukherjee, Federico Silla, Peter Bannon, Joel Emer, Steve Lang, and David Webb. 2002. A comparative study of arbitration algorithms for the Alpha 21364 pipelined router. ACM SIGARCH Computer Architecture News 30, 5 (2002), 223--234.
[28]
Robert Mullins, Andrew West, and Simon Moore. 2004. Low-latency virtual-channel routers for on-chip networks. ACM SIGARCH Computer Architecture News 32, 2 (2004), 188.
[29]
Erland Nilsson, Mikael Millberg, Johnny Oberg, and Axel Jantsch. 2003. Load distribution with the proximity congestion awareness in a network on chip. In Proceedings of the IEEE conference on Design, Automation and Test in Europe-Volume 1. IEEE Computer Society, 11126.
[30]
L-S Peh and William J Dally. 2001. A delay model and speculative architecture for pipelined routers. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 255--266.
[31]
Gregory F Pfister and V Alan Norton. 1985. Hot spot contention and combining in multistage interconnection networks. IEEE Transactions on Computers 100, 10 (1985), 943--948.
[32]
Rohit Sunkam Ramanujam and Bill Lin. 2010. Destination-based adaptive routing on 2D mesh networks. In Proceedings of the ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS). 1--12.
[33]
Supriya Rao, Supreet Jeloka, Reetuparna Das, David Blaauw, Ronald Dreslinski, and Trevor Mudge. 2014. Vix: Virtual input crossbar for efficient switch allocation. In Proceedings of the 51st Annual ACM Design Automation Conference (DAC). 1--6.
[34]
Faizal Arya Samman, Thomas Hollstein, and Manfred Glesner. 2013. Runtime contention and bandwidth-aware adaptive routing selection strategies for networks-on-chip. IEEE Transactions on Parallel and Distributed Systems 24, 7 (2013), 1411--1421.
[35]
Daniel Sanchez, George Michelogiannakis, and Christos Kozyrakis. 2010. An analysis of on-chip interconnection networks for large-scale chip multiprocessors. ACM Transactions on Architecture and Code Optimization (TACO) 7, 1 (2010), 4.
[36]
Arjun Singh. 2005. Load-balanced routing in interconnection networks. Ph.D. Dissertation. Stanford University.
[37]
Arjun Singh, William J Dally, Amit K Gupta, and Brian Towles. 2003. GOAL: a load-balanced adaptive routing algorithm for torus networks. ACM SIGARCH Computer Architecture News 31, 2 (2003), 194--205.
[38]
Chen Sun, Chia-Hsin Owen Chen, George Kurian, Lan Wei, Jason Miller, Anant Agarwal, Li-Shiuan Peh, and Vladimir Stojanovic. 2012. DSENT-a tool connecting emerging photonics with electronics for opto-electronic networks-on-chip modeling. In Proceedings of the Sixth IEEE/ACM International Symposium on Networks on Chip (NoCS). 201--210.
[39]
Chifeng Wang, Wen-Hsiang Hu, and Nader Bagherzadeh. 2010. Congestion-aware Network-on-Chip router architecture. In Proceedings of the 15th IEEE International Symposium on Computer Architecture and Digital Systems (CADS). 137--144.
[40]
Tao Wang, Guangyu Sun, Jiahua Chen, Jian Gong, Haoyang Wu, Xiaoguang Li, Songwu Lu, and Jason Cong. 2014. GRT: a reconfigurable SDR platform with high performance and usability. ACM SIGARCH Computer Architecture News 42, 4 (2014), 51--56.
[41]
Dong Wu, Bashir M Al-Hashimi, and Marcus T Schmitz. 2006. Improving routing efficiency for network-on-chip through contention-aware input selection. In Proceedings of the IEEE Asia and South Pacific Design Automation Conference. 36--41.

Cited By

View all
  • (2021)SB-Router: A Swapped Buffer Activated Low Latency Network-on-Chip RouterIEEE Access10.1109/ACCESS.2021.31112949(126564-126578)Online publication date: 2021
  • (2018)Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-ChipIEEE Transactions on Computers10.1109/TC.2018.284436567:12(1818-1834)Online publication date: 1-Dec-2018

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Other conferences
ACM TURC '17: Proceedings of the ACM Turing 50th Celebration Conference - China
May 2017
371 pages
ISBN:9781450348737
DOI:10.1145/3063955
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 12 May 2017

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. congestion aware
  2. network-on-chip
  3. switch allocation

Qualifiers

  • Research-article

Funding Sources

  • FANEDD
  • 863 Program of China

Conference

ACM TUR-C '17

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 02 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2021)SB-Router: A Swapped Buffer Activated Low Latency Network-on-Chip RouterIEEE Access10.1109/ACCESS.2021.31112949(126564-126578)Online publication date: 2021
  • (2018)Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-ChipIEEE Transactions on Computers10.1109/TC.2018.284436567:12(1818-1834)Online publication date: 1-Dec-2018

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media