Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3240765.3264635acmotherconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

HLSPredict: cross platform performance prediction for FPGA high-level synthesis

Published: 05 November 2018 Publication History

Abstract

FPGA application developers must explore increasingly large design spaces to identify regions of code to accelerate. High-Level Synthesis (HLS) tools automatically derive FPGA-based designs from high-level language specifications, which improves designer productivity; however, HLS tool run-times are cost-prohibitive for design space exploration, preventing designers from adequately answering cost-value decisions without expert guidance. To address this concern, this paper introduces a machine learning framework to predict FPGA performance and power consumption without relying on analytical models or HLS tools in-the-loop. For workloads that were manually optimized by appropriately setting pragmas, the framework obtains a worst-case relative error of 9.08% while running 43.78x faster than HLS; for unoptimized workloads, the framework obtains a worst-case relative error of 9.79% while running 36.24x faster than HLS.

References

[1]
Ardalani, N. et al. 2015. Cross-architecture performance prediction (XAPP) using CPU code to predict GPU performance. Proceedings of the 48th International Symposium on Microarchitecture - MICRO-48. (2015), 725--737.
[2]
Canis, A. et al. 2011. LegUp: High-Level Synthesis for FPGA-Based Processor/Accelerator Systems. FPGA '11 Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays. (2011), 33--36.
[3]
Chen, J. et al. 2011. Tree structured analysis on GPU power study. Proceedings -IEEE International Conference on Computer Design (Oct. 2011), 57--64.
[4]
Choi, Y. et al. 2017. HLscope+: fast and accurate performance estimation for FPGA HLS. Proceedings of the 36th International Conference on Computer-Aided Design (2017), 691--698.
[5]
Eyerman, S. et al. 2009. A mechanistic performance model for superscalar out-of-order processors. ACM Transactions on Computer Systems. 27, 2 (May 2009), 1--37.
[6]
Fog, A. 2018. The microarchitecture of Intel, AMD and VIA CPUs An optimization guide for assembly programmers and compiler makers. (2018).
[7]
Guyon, I. et al. 2002. Gene selection for cancer classification using support vector machines. Machine Learning. 46, 1--3 (2002), 389--422.
[8]
Hastie, T. et al. 2009. Springer Series in Statistics The Elements of Statistical Learning.
[9]
Hong, S. and Kim, H. 2010. An integrated GPU power and performance model. ACM SIGARCH Computer Architecture News (New York, NY, USA, 2010), 280.
[10]
Koeplinger, D. et al. 2016. Automatic generation of efficient accelerators for reconfigurable hardware. ACM SIGARCH Computer Architecture News (Jun. 2016), 115--127.
[11]
Liu, H.-Y. and Carloni, L.P. 2013. On learning-based methods for design-space exploration with High-Level Synthesis. 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC) (May 2013), 1--7.
[12]
Pedregosa, F. et al. 2011. Scikit-learn: Machine Learning in Python. Journal of Machine Learning Research. 12, Oct (2011), 2825--2830.
[13]
PolyBench/C: https://goo.gl/2eNA9L. Accessed: 2018-03-28.
[14]
PolyBench/GPU: https://goo.gl/7PTHSJ. Accessed: 2018-03-28.
[15]
RRZE-HPC/likwid: Performance monitoring and benchmarking suite: https://goo.gl/Wyhur3. Accessed: 2018-03-28.
[16]
RRZE-HPC/likwid Haswell Performance Groups: https://goo.gl/jQEuZG. Accessed: 2018-03-28.
[17]
Treibig, J. et al. 2010. LIKWID: A lightweight performance-oriented tool suite for x86 multicore environments. Proceedings of the International Conference on Parallel Processing Workshops (Sep. 2010), 207--216.
[18]
Vivado 2013. Vivado Design Suite User Guide: System-Level Design Entry. 901, (2013), 1--120.
[19]
Vivado High-Level Synthesis: https://goo.gl/2kpNwy. Accessed: 2018-03-30.
[20]
Zheng, X. et al. 2016. Accurate phase-level cross-platform power and performance estimation. Proceedings of the 53rd Annual Design Automation Conference on - DAC '16 (New York, New York, USA, 2016), 1--6.
[21]
Zheng, X. et al. 2015. Learning-based analytical cross-platform performance prediction. 2015 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS) (Jul. 2015), 52--59.
[22]
Zhong, G. et al. 2017. Design Space exploration of FPGA-based accelerators with multi-level parallelism. Proceedings of the 2017 Design, Automation and Test in Europe, DATE 2017 (2017), 1141--1146.
[23]
Zhong, G. et al. 2016. Lin-analyzer. Proceedings of the 53rd Annual Design Automation Conference on - DAC '16 (New York, New York, USA, 2016), 1--6.

Cited By

View all
  • (2024)Reinforcement Learning-Driven Bit-Width Optimization for the High-Level Synthesis of Transformer Designs on Field-Programmable Gate ArraysElectronics10.3390/electronics1303055213:3(552)Online publication date: 30-Jan-2024
  • (2024)CollectiveHLS: A Collaborative Approach to High-Level Synthesis Design OptimizationACM Transactions on Reconfigurable Technology and Systems10.1145/370200518:1(1-32)Online publication date: 26-Oct-2024
  • (2024)Review of neural network model acceleration techniques based on FPGA platformsNeurocomputing10.1016/j.neucom.2024.128511(128511)Online publication date: Aug-2024
  • Show More Cited By

Index Terms

  1. HLSPredict: cross platform performance prediction for FPGA high-level synthesis

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Other conferences
    ICCAD '18: Proceedings of the International Conference on Computer-Aided Design
    November 2018
    1020 pages
    ISBN:9781450359504
    DOI:10.1145/3240765
    • General Chair:
    • Iris Bahar
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    • IEEE-EDS: Electronic Devices Society
    • IEEE CAS
    • IEEE CEDA

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 November 2018

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. FPGA
    2. cross-platform predictive modeling
    3. high-level synthesis

    Qualifiers

    • Research-article

    Funding Sources

    • US National Science Foundation

    Conference

    ICCAD '18
    Sponsor:
    • IEEE-EDS

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)65
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 27 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Reinforcement Learning-Driven Bit-Width Optimization for the High-Level Synthesis of Transformer Designs on Field-Programmable Gate ArraysElectronics10.3390/electronics1303055213:3(552)Online publication date: 30-Jan-2024
    • (2024)CollectiveHLS: A Collaborative Approach to High-Level Synthesis Design OptimizationACM Transactions on Reconfigurable Technology and Systems10.1145/370200518:1(1-32)Online publication date: 26-Oct-2024
    • (2024)Review of neural network model acceleration techniques based on FPGA platformsNeurocomputing10.1016/j.neucom.2024.128511(128511)Online publication date: Aug-2024
    • (2023)High-level Synthesis for Domain Specific ComputingProceedings of the 2023 International Symposium on Physical Design10.1145/3569052.3580027(211-219)Online publication date: 26-Mar-2023
    • (2023)Ambassy: A Runtime Framework to Delegate Trusted Applications in an ARM/FPGA Hybrid SystemIEEE Transactions on Mobile Computing10.1109/TMC.2021.308614322:2(708-719)Online publication date: 1-Feb-2023
    • (2023)IronMan-Pro: Multiobjective Design Space Exploration in HLS via Reinforcement Learning and Graph Neural Network-Based ModelingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.318554042:3(900-913)Online publication date: Mar-2023
    • (2023)Application of Machine Learning in FPGA EDA Tool DevelopmentIEEE Access10.1109/ACCESS.2023.332235811(109564-109580)Online publication date: 2023
    • (2022)A Survey of Machine Learning for Computer Architecture and SystemsACM Computing Surveys10.1145/349452355:3(1-39)Online publication date: 3-Feb-2022
    • (2022)High-level synthesis performance prediction using GNNsProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530408(49-54)Online publication date: 10-Jul-2022
    • (2022)MLSBench: A Benchmark Set for Machine Learning based FPGA HLS Design Flows2022 IEEE 13th Latin America Symposium on Circuits and System (LASCAS)10.1109/LASCAS53948.2022.9789084(1-4)Online publication date: 1-Mar-2022
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media