Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3338698.3338893acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article
Public Access

Teaching Heterogeneous Computing with System-Level Design Methods

Published: 22 June 2019 Publication History

Abstract

We present our work at Columbia University teaching the design and programming of heterogeneous computing architectures with SLD methods. Over the past eight years, we have developed a new course, System-on-Chip Platforms, with the main goal of preparing students to contribute to the new economy of heterogeneous computing and open-source hardware. The course was one of the first nationwide to introduce the use of commercial high-level synthesis tools for the design of application-specific hardware accelerators. We also introduced the idea of structuring the final project as a design-space exploration contest that combines aspects of collaborative engineering and design for reusability.

References

[1]
ECE 425. 2019. Introduction to VLSI System Design. https://courses.engr.illinois.edu/ece425/sp2019/lectures.html.
[2]
ELEC 522. 2018. Advanced VLSI Design. https://www.clear.rice.edu/elec522/.
[3]
ESE 532. 2018. System-on-a-Chip Architecture. https://www.seas.upenn.edu/~ese532/.
[4]
ECE 5775. 2018. High-Level Digital Design Automation. https://www.csl.cornell.edu/courses/ece5775/.
[5]
CSE 599s. 2018. Hardware/Software Co-Optimization for Machine Learning. https://courses.cs.washington.edu/courses/cse599s/18sp/.
[6]
MIT 6.375. 2016. Complex Digital Systems. http://csg.csail.mit.edu/6.375/.
[7]
ECE 643. 2017. Reconfigurable Logic - Technology, Architecture and Applications. http://users.ece.cmu.edu/~jhoe/course/ece643/.
[8]
ARM. 2018. AMBA AXI and ACE Protocol Specification. http://infocenter.arm.com/help/topic/com.arm.doc.ihi0022d/.
[9]
I. Bahar et al. 2013. "Scaling" the Impact of EDA Education --- Preliminary Findings from the CCC Workshop Series on Extreme Scale Design Automation. In Intl. Conf. on Microelectronic Systems Education (MSE). 64--67.
[10]
B. Bailey et al. 2010. TLM-driven Design and Verification Methodology. Lulu Enterprises.
[11]
K. Barker et al. 2013. PERFECT (Power Efficiency Revolution For Embedded Computing Technologies) Benchmark Suite Manual. PNNL and GTRI. http://hpc.pnnl.gov/perfect.
[12]
David C. Black, Jack Donovan, Bill Bunton, and Anna Keist. 2009. SystemC: From the Ground Up, Second Edition. Springer.
[13]
Bloomberg News. 2018. Facebook To Design Own Chips, Cut Back On Intel, Qualcomm Reliance. https://www.investors.com/news/technology/facebook-building-chips/.
[14]
M. Bohr. 2007. A 30 Year Retrospective on Dennard's MOSFET Scaling Paper. IEEE Solid-State Circuits Society Newsletter 12, 1 (Winter 2007), 11--13.
[15]
S. Borkar and A. Chen. 2011. The Future of Microprocessors. Communication of the ACM 54 (May 2011), 67--77. Issue 5.
[16]
L. P. Carloni. 2015. From Latency-Insensitive Design to Communication-Based System-Level Design. Proc. of the IEEE 103, 11 (Nov. 2015), 2133--2151.
[17]
L. P. Carloni. 2016. The case for Embedded Scalable Platforms. In Proc. of the Design Automation Conf. (DAC). 17:1--17:6.
[18]
L. P. Carloni, K. L. McMillan, A. Saldanha, and A. L. Sangiovanni-Vincentelli. 1999. A Methodology for "Correct-by-Construction" Latency Insensitive Design. In Proc. of the Intl. Conf. on Computer-Aided Design (ICCAD). 309--315.
[19]
L. P. Carloni, K. L. McMillan, and A. L. Sangiovanni-Vincentelli. 2001. Theory of Latency-Insensitive Design. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 20, 9 (Sept. 2001), 1059--1076.
[20]
L. P. Carloni and A. L. Sangiovanni-Vincentelli. 2003. On-Chip Communication Design: Roadblocks and Avenues. In Intl. Conf. on Hardware/Software Codesign and System Synthesis. 75--76.
[21]
A. M. Caulfield et al. 2016. A Cloud-Scale Acceleration Architecture. In Proc. of the Intl. Symp. on Microarchitecture. 1--13.
[22]
R. K. Cavin, P. Lugli, and V. V. Zhirnov. 2012. Science and Engineering Beyond Moore's Law. Proc. IEEE 100, Special Centennial Issue (May 2012), 1720--1749.
[23]
R. Collins and L. P. Carloni. 2008. Topology-Based Performance Analysis and Optimization of Latency-Insensitive Systems. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 27, 12 (Dec. 2008), 2277--2290.
[24]
R. Colwell. 2013. End of Moore's law. IEEE Computer 46, 12 (Dec. 2013), 49.
[25]
Jonathan Corbet, Alessandro Rubini, and Greg Kroah-Hartman. 2005. Linux Device Drivers, 3rd Edition. O'Reilly Media, Inc.
[26]
E. Cota et al. 2015. An Analysis of Accelerator Coupling in Heterogeneous Architectures. In Proc. of the Design Automation Conf. (DAC). 202:1--202:6.
[27]
S. Damaraju et al. 2012. A 22nm IA Multi-CPU and GPU System-on-Chip. In ISSCC Digest of Technical Papers. 56--57.
[28]
N. Dave, Arvind, and M. Pellauer. 2007. Scheduling as Rule Composition. In Intl. Conf. on Formal Methods and Models for Codesign (MEMOCODE). 51--60.
[29]
EE382M.20. 2018. System-on-Chip Design (EE382M.20). http://users.ece.utexas.edu/~gerstl/ee382m_f18/.
[30]
Michael Fingeroff. 2010. High-Level Synthesis Blue Book. Mentor Graphics Corp.
[31]
F. Ghenassia. 2006. Transaction-Level Modeling with SystemC. Springer.
[32]
D. Giri, P. Mantovani, and L. P. Carloni. 2018. Accelerators and Coherence: An SoC Perspective. IEEE Micro 38, 6 (Nov-Dec 2018), 36--45.
[33]
G. Gupta et al. 2017. Kickstarting Semiconductor Innovation with Open Source Hardware. IEEE Computer 50, 6 (June 2017), 50--59.
[34]
David Harris and Sarah Harris. 2012. Digital Design and Computer Architecture (2nd ed.). Morgan Kaufmann Publishers Inc.
[35]
John L. Hennessy and David A. Patterson. 2019. A New Golden Age for Computer Architecture. Commun. ACM 62, 2 (Jan. 2019), 48--60.
[36]
M. Horowitz. 2014. Computing's Energy Problem (and What We Can Do About It). In ISSCC Digest of Technical Papers. 10--14.
[37]
IEEE. 2012. SystemC Standardization Working Group. 1666-2011 - IEEE Standard for Standard SystemC Reference Manual.
[38]
E. Jhonsa. 2018. Why Tech Giants Like Amazon Are Designing Their Own Chips -- And Who Benefits. https://www.thestreet.com/opinion/why-tech-giants-are-designing-their-own-chips-14807638.
[39]
N. P. Jouppy et al. 2017. In-Datacenter Performance Analysis of a Tensor Processing Unit. In Proc. of the Intl. Conf. on Computer Architecture (ISCA). 1--12.
[40]
D. Keymeulen et al. 2018. High Performance Space Computing with System-on-Chip Instrument Avionics for Space-based Next Generation Imaging Spectrometers (NGIS). In NASA/ESA Conf. on Adaptive Hardware and Systems. 33--36.
[41]
B. Khailany et al. 2018. A Modular Digital VLSI Flow for High-Productivity SoC Design. In Proc. of the Design Automation Conf. (DAC). 72:1--72:6.
[42]
E. A. Lee and A. Sangiovanni-Vincentelli. 1998. A Framework for Comparing Models of Computation. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 17, 12 (Dec. 1998), 1217--1229.
[43]
H.-Y. Liu, M. Petracca, and L. P. Carloni. 2012. Compositional System-Level Design Exploration with Planning of High-Level Synthesis. In Conf. on Design, Automation and Test in Europe. 641--646.
[44]
D. Lockhart, G. Zibrat, and C. Batten. 2014. PyMTL: A Unified Framework for Vertically Integrated Computer Architecture Research. In Proc. of the Intl. Symp. on Microarchitecture. 280--292.
[45]
P. Mantovani et al. 2016. An FPGA-Based Infrastructure for Fine-Grained DVFS Analysis in High-Performance Embedded Systems. In Proc. of the Design Automation Conf. (DAC). 157:1--157:6.
[46]
P. Mantovani et al. 2016. Handling Large Data Sets for High-Performance Embedded Applications in Heterogeneous Systems-on-Chip. In Intl. Conf. on Compilers, Architecture and Synthesis for Embedded Systems (CASES). 1--10.
[47]
G. Martin and G. Smith. 2009. High-Level Synthesis: Past, Present, and Future. IEEE Design & Test of Computers 26, 4 (Aug. 2009), 18--25.
[48]
C. Metz. 2018. Amazon's Homegrown Chips Threaten Silicon Valley Giant Intel. https://www.nytimes.com/2018/12/10/technology/amazon-server-chip-intel.html.
[49]
T. Murata. 1989. Petri Nets: Properties, Analysis and Applications. Proc. of the IEEE 77, 4 (April 1989), 541--580.
[50]
R. Nane et al. 2016. A Survey and Evaluation of FPGA High-Level Synthesis Tools. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 35, 10 (Oct. 2016), 1591--1604.
[51]
NVIDIA. 2018. NVDLA Primer. http://nvdla.org/primer.html.
[52]
Open SystemC Initiative (OSCI). {n.d.}. The SystemC Language Reference Manual. http://www.systemc.org/.
[53]
David A. Patterson and John L. Hennessy. 2013. Computer Organization and Design: The Hardware/Software Interface. Morgan Kaufmann Publishers Inc.
[54]
L. Piccolboni et al. 2017. Broadening the Exploration of the Accelerator Design Space in Embedded Scalable Platforms. In IEEE High Performance Extreme Computing Conference (HPEC). 1--7.
[55]
L. Piccolboni et al. 2017. COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators. ACM Trans. on Embedded Computing Systems 16, 5s (Sept. 2017), 150:1--150:22.
[56]
C. Pilato et al. 2017. System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems 36, 3 (March 2017), 435--448.
[57]
Y. Pu et al. 2018. A 9-mm2 Ultra-Low-Power Highly Integrated 28-nm CMOS SoC for Internet of Things. IEEE J. of Solid-State Circuits 53, 3 (March 2018), 936--948.
[58]
M. Püschel et al. 2004. Spiral: A Generator for Platform-Adapted Libraries of Signal Processing Algorithms. Int. J. High Perform. Comput. Appl. 18, 1 (Feb. 2004), 21--45.
[59]
F. Samie et al. 2016. IoT Technologies for Embedded Computing: A Survey. In Intl. Conf. on Hardware/Software Codesign and System Synthesis. 8:1--8:10.
[60]
A. L. Sangiovanni-Vincentelli. 2007. Quo Vadis SLD: Reasoning about Trends and Challenges of System-Level Design. Proc. of the IEEE 95, 3 (March 2007), 467--506.
[61]
J. Sanguinetti, M. Meredith, and S. Dart. 2012. Transaction-Accurate Interface Scheduling in High-Level Synthesis. In ESLsyn Conference. 31--36.
[62]
S. Schreiner et al. 2014. Autonomous Flight Control Meets Custom Payload Processing: A Mixed-Critical Avionics Architecture Approach for Civilian UAVs. In Intl. Symp. on Object/Component/Service-Oriented Real-Time Distributed Computing. 348--357.
[63]
Y. S. Shao et al. 2015. The Aladdin Approach to Accelerator Design and Modeling. IEEE Micro 35, 3 (May-Jun 2015), 58--70.
[64]
K. Simonyan and A. Zisserman. 2015. Very Deep Convolutional Networks for Large-Scale Image Recognition. In Intl. Conf. on Learning Representations. 730--734.
[65]
G. P. Stein et al. 2005. A Computer Vision System on a Chip: a Case Study from the Automotive Domain. In Conf. on Computer Vision and Pattern Recognition (CVPR'05). 130--130.
[66]
R. Zhao et al. 2016. Improving High-level Synthesis with Decoupled Data Structure Optimization. In Proc. of the Design Automation Conf. (DAC). 137:1--137:6.

Cited By

View all
  • (2022)A Scalable Methodology for Agile Chip Development with Open-Source Hardware ComponentsProceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design10.1145/3508352.3561102(1-9)Online publication date: 30-Oct-2022
  • (2022)Adopting Heterogeneous Computing Modules: Experiences from a ToUCH Summer Workshop2022 IEEE/ACM International Workshop on Education for High Performance Computing (EduHPC)10.1109/EduHPC56719.2022.00008(18-25)Online publication date: Nov-2022
  • (2021)Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCsMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480065(350-365)Online publication date: 18-Oct-2021
  • Show More Cited By
  1. Teaching Heterogeneous Computing with System-Level Design Methods

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    WCAE'19: Proceedings of the Workshop on Computer Architecture Education
    June 2019
    70 pages
    ISBN:9781450368421
    DOI:10.1145/3338698
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 22 June 2019

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. accelerators
    2. collaborative engineering
    3. design reuse
    4. open-source hardware
    5. system-level design (SLD)
    6. system-on-chip (SoC)

    Qualifiers

    • Research-article
    • Research
    • Refereed limited

    Funding Sources

    Conference

    ISCA '19
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 9 of 10 submissions, 90%

    Upcoming Conference

    ISCA '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)217
    • Downloads (Last 6 weeks)125
    Reflects downloads up to 15 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)A Scalable Methodology for Agile Chip Development with Open-Source Hardware ComponentsProceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design10.1145/3508352.3561102(1-9)Online publication date: 30-Oct-2022
    • (2022)Adopting Heterogeneous Computing Modules: Experiences from a ToUCH Summer Workshop2022 IEEE/ACM International Workshop on Education for High Performance Computing (EduHPC)10.1109/EduHPC56719.2022.00008(18-25)Online publication date: Nov-2022
    • (2021)Cohmeleon: Learning-Based Orchestration of Accelerator Coherence in Heterogeneous SoCsMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480065(350-365)Online publication date: 18-Oct-2021
    • (2021)Experience and Practice Teaching an Undergraduate Course on Diverse Heterogeneous Architectures2021 IEEE/ACM Ninth Workshop on Education for High Performance Computing (EduHPC)10.1109/EduHPC54835.2021.00006(1-8)Online publication date: Nov-2021
    • (2020)Scalable Open-Source System-on-Chip Design: (Invited Talk - Extended Abstract)2020 IFIP/IEEE 28th International Conference on Very Large Scale Integration (VLSI-SOC)10.1109/VLSI-SOC46417.2020.9344077(7-9)Online publication date: 5-Oct-2020

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media