Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3400302.3415753acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Agile SoC development with open ESP

Published: 17 December 2020 Publication History

Abstract

ESP is an open-source research platform for heterogeneous SoC design. The platform combines a modular tile-based architecture with a variety of application-oriented flows for the design and optimization of accelerators. The ESP architecture is highly scalable and strikes a balance between regularity and specialization. The companion methodology raises the level of abstraction to system-level design and enables an automated flow from software and hardware development to full-system prototyping on FPGA. For application developers, ESP offers domain-specific automated solutions to synthesize new accelerators for their software and to map complex workloads onto the SoC architecture. For hardware engineers, ESP offers automated solutions to integrate their accelerator designs into the complete SoC. Conceived as a heterogeneous integration platform and tested through years of teaching at Columbia University, ESP supports the open-source hardware community by providing a flexible platform for agile SoC development.

References

[1]
Ariane. https://github.com/pulp-platform/ariane.
[2]
HLS4ML. https://fastmachinelearning.org/hls4ml/.
[3]
NVIDIA Deep Learning Accelerator (NVDLA). www.nvdla.org.
[4]
PULP. https://pulp-platform.org/.
[5]
A. Amid, D. Biancolin, A. Gonzalez, D. Grubb, S. Karandikar, H. Liew, A. Magyar, H. Mao, A. Ou, N. Pemberton, P. Rigge, C. Schmidt, J. Wright, J. Zhao, Y. S. Shao, K. Asanovic, and B. Nikolic. 2020. Chipyard: Integrated Design, Simulation, and Implementation Framework for Custom SoCs. IEEE Micro 40, 4 (2020), 10--21.
[6]
K. Asanovic and D. Patterson. 2014. The Case for Open Instruction Sets. Microprocessor Report (Aug. 2014).
[7]
J. Balkind, T. Chang, P. J. Jackson, G. Tziantzioulis, A. Li, F. Gao, A. Lavrov, G. Chirkov, J. Tu, M. Shahrad, and D. Wentzlaff. 2020. OpenPiton at 5: A Nexus for Open and Agile Hardware Design. IEEE Micro 40, 4 (2020), 22--31.
[8]
J. Balkind, K. Lim, F. Gao, J. Tu, D. Wentzlaff, M. Schaffner, F. Zaruba, and L. Benini. 2019. OpenPiton+ Ariane: The First Open-Source, SMP Linux-booting RISC-V System Scaling From One to Many Cores. In Workshop on Computer Architecture Research with RISC-V (CARRV). 1--6.
[9]
S. Borkar and A. Chen. 2011. The Future of Microprocessors. Communication of the ACM 54 (May 2011), 67--77. Issue 5.
[10]
L. P. Carloni. 2015. From Latency-Insensitive Design to Communication-Based System-Level Design. Proceedings of the IEEE 103, 11 (Nov. 2015), 2133--2151.
[11]
L. P. Carloni. 2016. The Case for Embedded Scalable Platforms. In Proc. of the Design Automation Conference (DAC). 17:1--17:6.
[12]
L. P. Carloni, E. G. Cota, G. Di Guglielmo, D. Giri, J. Kwon, P. Mantovani, L. Piccolboni, and M. Petracca. 2019. Teaching Heterogeneous Computing with System-Level Design Methods. In Workshop on Computer Architecture Education (WCAE). 1--8.
[13]
L. P. Carloni, K. L. McMillan, A. Saldahna, and A. L. Sangiovanni-Vincentelli. 1999. A Methodology for "Correct-by-Construction" Latency Insensitive Design. In Proc. of the International Conference on Computer-Aided Design. 309--315.
[14]
L. P. Carloni, K. L. McMillan, and A. L. Sangiovanni-Vincentelli. 2001. Theory of Latency-Insensitive Design. IEEE Transactions on CAD of Integrated Circuits and Systems 20, 9 (Sept. 2001), 1059--1076.
[15]
A. M. Caulfield, E. S. Chung, A. Putnam, H. Angepat, J. Fowers, M. Haselman, S. Heil, M. Humphrey, P. Kaur, J. Kim, D. Lo, T. Massengill, K. Ovtcharov, M. Papamichael, L. Woods, S. Lanka, D. Chiou, and D. Burger. 2016. A Cloud-Scale Acceleration Architecture. In Proc. of the IEEE/ACM International Symposium on Microarchitecture (MICRO). 1--13.
[16]
H. Chishiro, K. Suito, T. Ito, S. Maeda, T. Azumi, K. Funaoka, and S. Kato. 2019. Towards Heterogeneous Computing Platforms for Autonomous Driving. In Proc. of the International Conference on Embedded Software and Systems (ICESS).
[17]
Cobham Gaisler. LEON3. www.gaisler.com/index.php/products/processors/leon3.
[18]
Columbia SLD Group. ESP Release. www.esp.cs.columbia.edu.
[19]
E. G. Cota, P. Mantovani, G. Di Guglielmo, and L. P. Carloni. 2015. An Analysis of Accelerator Coupling in Heterogeneous Architectures. In Proc. of the Design Automation Conference (DAC). 202:1--202:6.
[20]
W. Dally, Y. Turakhia, and S. Han. 2020. Domain-Specific Hardware Accelerators. Communication of the ACM 63, 7 (June 2020), 48--57.
[21]
S. Davidson, S. Xie, C. Torng, K. Al-Hawai, A. Rovinski, T. Ajayi, L. Vega, C. Zhao, R. Zhao, S. Dai, A. Amarnath, B. Veluri, P. Gao, A. Rao, G. Liu, R. K. Gupta, Z. Zhang, R. Dreslinski, C. Batten, and M. B. Taylor. 2018. The Celerity OpenSource 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips. IEEE Micro 38, 2 (Feb. 2018), 30--41.
[22]
J. Duarte, S. Han, P. Harris, S. Jindariani, E. Kreinar, B. Kreis, J. Ngadiuba, M. Pierini, R. Rivera, N. Tran, and Z. Wu. 2018. Fast inference of deep neural networks in FPGAs for particle physics. Journal of Instrumentation 13, 07 (July 2018), P07027--P07027.
[23]
J. Gaisler. 2004. An Open-Source VHDL IP Library with Plug & Play Configuration. Building the Information Society (2004).
[24]
D. Giri, K.-L. Chiu, G. Eichler, P. Mantovani, N. Chandramoorth, and L. P. Carloni. 2020. Ariane + NVDLA: Seamless Third-Party IP Integration with ESP. In Workshop on Computer Architecture Research with RISC-V (CARRV).
[25]
D. Giri, K.-L. Chiu, G. Di Guglielmo, P. Mantovani, and L.P. Carloni. 2020. ESP4ML: Platform-Based Design of Systems-on-Chip for Embedded Machine Learning. In Proc. of the Conference on Design, Automation, and Test in Europe (DATE). 1049--1054.
[26]
D. Giri, P. Mantovani, and L. P. Carloni. 2018. Accelerators & Coherence: An SoC Perspective. IEEE Micro 38, 6 (Nov. 2018), 36--45.
[27]
D. Giri, P. Mantovani, and L. P. Carloni. 2018. NoC-Based Support of Heterogeneous Cache-Coherence Models for Accelerators. In Proc. of the International Symposium on Networks-on-Chip (NOCS). 1:1--1:8.
[28]
D. Giri, P. Mantovani, and L. P. Carloni. 2019. Runtime Reconfigurable Memory Hierarchy in Embedded Scalable Platforms. In Proc. of the Asia and South Pacific Design Automation Conference (ASPDAC). 719--726.
[29]
S. Greengard. 2020. Will RISC-V Revolutionize Computing? Commun. ACM 63, 5 (April 2020), 30--32.
[30]
G. Gupta, T. Nowatzki, V. Gangadhar, and K. Sankaralingam. 2017. Kickstarting Semiconductor Innovation with Open Source Hardware. IEEE Computer 50, 6 (June 2017), 50--59.
[31]
M. Horowitz. 2014. Computing's Energy Problem (and What We Can Do About It). In International Solid-State Circuits Conference (ISSCC). 10--14.
[32]
N. P. Jouppi, C. Young, N. Patil, and D. Patterson. 2018. A Domain-Specific Architecture for Deep Neural Networks. Commun. ACM 61, 9 (Aug. 2018), 50--59.
[33]
B. Khailany, E. Krimer, R. Venkatesan, J. Clemons, J. S. Emer, M. Fojtik, A. Klinefelter, M. Pellauer, N. Pinckney, Y. S. Shao, S. Srinath, C. Torng, S. L. Xi, Y. Zhang, and B. Zimmer. 2018. A Modular Digital VLSI Flow for High-Productivity SoC Design. In Proc. of the Design Automation Conference (DAC). 1--6.
[34]
A. Kurth, P. Vogel, A. Capotondi, A. Marongui, and L. Benini. 2017. HERO: Heterogeneous Embedded Research Platform for Exploring RISC-V Manycore Accelerators on FPGA. In Workshop on Computer Architecture Research with RISC-V (CARRV). 1--7.
[35]
Y. Lee, A. Waterman, H. Cook, B. Zimmer, B. Keller, A. Puggelli, J. Kwak, R. Jevtic, S. Bailey, M. Blagojevic, P. Chiu, R. Avizienis, B. Richards, J. Bachrach, D. Patterson, E. Alon, B. Nikolic, and K. Asanovic. 2016. An Agile Approach to Building RISC-V Microprocessors. IEEE Micro 36, 2 (Mar.-Apr. 2016), 8--20.
[36]
H-Y. Liu and L. P. Carloni. 2013. On Learning-based Methods for Design-Space Exploration with High-Level Synthesis. In Proc. of the Design Automation Conference (DAC). 1--7.
[37]
H-Y. Liu, M. Petracca, and L. P. Carloni. 2012. Compositional System-Level Design Exploration with Planning of High-Level Synthesis. In Proc. of the Conference on Design, Automation, and Test in Europe (DATE). 641--646.
[38]
M. Meredith. 2008. High-level SystemC Synthesis with Forte's Cynthesizer. In High-Level Synthesis. Springer, 75--97.
[39]
P. Mantovani, E. G. Cota, C. Pilato, G. Di Guglielmo, and L. P. Carloni. 2016. Handling Large Data Sets for High-Performance Embedded Applications in Heterogeneous Systems-on-Chip. In Proc. of the Intl. Conference on Compilers, Architectures, and Synthesis of Embedded Systems (CASES). 1--10.
[40]
P. Mantovani, E. G. Cota, K. Tien, C. Pilato, G. Di Guglielmo, K. Shepard, and L. P. Carloni. 2016. An FPGA-Based Infrastructure for Fine-Grained DVFS Analysis in High-Performance Embedded Systems. In Proc. of the Design Automation Conference (DAC). 157:1--157:6.
[41]
P. Mantovani, G. Di Guglielmo, and L. P. Carloni. 2016. High-level Synthesis of Accelerators in Embedded Scalable Platforms. In Proc. of the Asia and South Pacific Design Automation Conference (ASPDAC). 204--211.
[42]
R. Nane, V. Sima, C. Pilato, J. Choi, B. Fort, A. Canis, Y. T. Chen, H. Hsiao, S. Brown, F. Ferrandi, J. Anderson, and K. Bertels. 2016. A Survey and Evaluation of FPGA High-Level Synthesis Tools. IEEE Transactions on CAD of Integrated Circuits and Systems 35, 10 (2016), 1591--1604.
[43]
D. Petrisko, F. Gilani, M. Wyse, D. C. Jung, S. Davidson, P. Gao, C. Zhao, Z. Azad, S. Canakci, B. Veluri, T. Guarino, A. Joshi, M. Oskin, and M. B. Taylor. 2020. BlackParrot: An Agile Open-Source RISC-V Multicore for Accelerator SoCs. IEEE Micro 40, 4 (2020), 93--102.
[44]
L. Piccolboni, P. Mantovani, G. Di Guglielmo, and L. P. Carloni. 2017. COSMOS: Coordination of High-Level Synthesis and Memory Optimization for Hardware Accelerators. ACM Transactions on Embedded Computing Systems 16, 5s (Sept. 2017), 150:1--150:22.
[45]
C. Pilato, P. Mantovani, G. Di Guglielmo, and L. P. Carloni. 2017. System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip. IEEE Transactions on CAD of Integrated Circuits and Systems 36, 3 (March 2017), 435--448.
[46]
Princeton Parallel Group. OpenPiton. https://parallel.princeton.edu/openpiton/.
[47]
D. Rossi, I. Loi, F. Conti, G. Tagliavini, A. Pullini, and A. Marongiu. 2014. Energy Efficient Parallel Computing on the PULP Platform with Support for OpenMP. In Convention of Electrical Electronics Engineers in Israel (IEEEI).
[48]
Y. S. Shao, B. Reagen, G. Wei, and D. Brooks. 2015. The Aladdin Approach to Accelerator Design and Modeling. IEEE Micro 35, 3 (May-Jun 2015), 58--70.
[49]
Y.-J. Yoon, N. Concer, and L. P. Carloni. 2013. Virtual Channels and Multiple Physical Networks: Two Alternatives to Improve NoC Performance. IEEE Transactions on CAD of Integrated Circuits and Systems 32, 12 (Dec. 2013), 1906--1919.
[50]
Y.-J. Yoon, P. Mantovani, and L. P. Carloni. 2017. System-Level Design of Networks-on-Chip for Heterogeneous Systems-on-Chip. In Proc. of the International Symposium on Networks-on-Chip (NOCS). 1--6.
[51]
F. Zaruba and L. Benini. 2019. The Cost of Application-Class Processing: Energy and Performance Analysis of a Linux-Ready 1.7-GHz 64-Bit RISC-V Core in 22-nm FDSOI Technology. IEEE Transactions on Very Large Scale Integration Systems 27, 11 (Nov. 2019), 2629--2640.

Cited By

View all
  • (2024)A Novel Approach to Managing System-on-Chip Sub-Blocks Using a 16-Bit Real-Time Operating SystemElectronics10.3390/electronics1310197813:10(1978)Online publication date: 18-May-2024
  • (2024)NOVA: NoC-based Vector Unit for Mapping Attention Layers on a CNN Accelerator2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546727(1-6)Online publication date: 25-Mar-2024
  • (2024)A Light-weight Random Number Generation for Tamper-resistant AES CircuitInternational Journal of Networking and Computing10.15803/ijnc.14.2_10814:2(108-122)Online publication date: 2024
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '20: Proceedings of the 39th International Conference on Computer-Aided Design
November 2020
1396 pages
ISBN:9781450380263
DOI:10.1145/3400302
  • General Chair:
  • Yuan Xie
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

In-Cooperation

  • IEEE CAS
  • IEEE CEDA
  • IEEE CS

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 17 December 2020

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. SoC
  2. accelerators
  3. network-on-chip
  4. system-level design

Qualifiers

  • Research-article

Conference

ICCAD '20
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)217
  • Downloads (Last 6 weeks)19
Reflects downloads up to 11 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2024)A Novel Approach to Managing System-on-Chip Sub-Blocks Using a 16-Bit Real-Time Operating SystemElectronics10.3390/electronics1310197813:10(1978)Online publication date: 18-May-2024
  • (2024)NOVA: NoC-based Vector Unit for Mapping Attention Layers on a CNN Accelerator2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546727(1-6)Online publication date: 25-Mar-2024
  • (2024)A Light-weight Random Number Generation for Tamper-resistant AES CircuitInternational Journal of Networking and Computing10.15803/ijnc.14.2_10814:2(108-122)Online publication date: 2024
  • (2024)Mozart: Taming Taxes and Composing Accelerators with Shared-MemoryProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3676896(183-200)Online publication date: 14-Oct-2024
  • (2024)Reducing Datacenter Compute Carbon Footprint by Harnessing the Power of Specialization: Principles, Metrics, Challenges and OpportunitiesIEEE Transactions on Semiconductor Manufacturing10.1109/TSM.2024.343433137:4(481-488)Online publication date: Nov-2024
  • (2024)Weave: Abstraction and Integration Flow for Accelerators of Generated ModulesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.332597243:3(854-867)Online publication date: Mar-2024
  • (2024)14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration2024 IEEE International Solid-State Circuits Conference (ISSCC)10.1109/ISSCC49657.2024.10454572(262-264)Online publication date: 18-Feb-2024
  • (2024)A Runtime Manager Integrated Emulation Environment for Heterogeneous SoC Design with RISC-V Cores2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00013(23-30)Online publication date: 27-May-2024
  • (2024)WOLT: Transparent Deployment of ML Workloads on Lightweight Many-Accelerator Architectures2024 IEEE 42nd International Conference on Computer Design (ICCD)10.1109/ICCD63220.2024.00102(637-644)Online publication date: 18-Nov-2024
  • (2024)RELIEF: Relieving Memory Pressure In SoCs Via Data Movement-Aware Accelerator Scheduling2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00084(1063-1079)Online publication date: 2-Mar-2024
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media