Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/360128.360135acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free access

Predictor-directed stream buffers

Published: 01 December 2000 Publication History
  • Get Citation Alerts
  • First page of PDF

    Supplementary Material

    PS File (p42-sherwood.ps)

    References

    [1]
    T. Alexander and G. Kedem. Distributed prefetch-buffer/cache design for high performance memory systems. In Proceedings of the Second International Symposium on High-Performance Computer Architecture, February 1996.
    [2]
    M. Bekerman, S. Jourdan, R. Ronen, G. Kirshenboim, L. Rappoport, A. Yoaz, and U Weiser. Correlated load-address predictors. In 26th Annual International Symposium on Computer Architecture, May 1999.
    [3]
    A. Berrached, L. Coraor, and P. Hulina. A decoupled access/execute architecture for efficient accesss of structured data. In In the Hawaii International Conference on System Services, January 1993.
    [4]
    B. Black, B. Mueller, S. Postal, R. Rakvie, N. Utamaphethai, and J. P. Shen. Load execution latency reduction. In 12th International Conference on Supercomputing, June 1998.
    [5]
    D. C. Burger and T. M. Austin. The simplescalar tool set, version 2.0. Technical Report CS-TR-97-1342, University of Wisconsin, Madi-son, June 1997.
    [6]
    M.J. Charney and T.R. Puzak. Prefetching and memory system behavior of the spec95 benchmark suite. IBM Journal of Research and Development, 41(3), May 1997.
    [7]
    M.J. Charney and A.P. Reeves. Generalized correlation based hardware prefetching. Technical Report EE-CEG-95-1, Cornell University, February 1995.
    [8]
    T-F. Chen and J-L. Baer. Effective hardware-based data prefetching for high performance processors. IEEE Transactions on Computers, 5(44):609-623, May 1995.
    [9]
    T.F. Chen and J.L. Baer. Reducing memory latency via non-blocking and prefetching caches. In Proceedings of the Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-IV), pages 51-61, October 1992.
    [10]
    C. Chi and C. Cheung. Hardware-driven prefetching for pointer data references. In In the ACM International Conference on Supercomputing, pages 377-384, June 1998.
    [11]
    G. Chrysos and J. Emer. Memory dependence prediction using store sets. In 25th Annual International Symposium on Computer Architecture, June 1998.
    [12]
    R. J. Eickemeyer and S. Vassiliadis. A load instruction unit for pipelined processors. IBM Journal of Research and Development, 37:547-564, July 1993.
    [13]
    K. Farkas, P. Chow, N. Jouppi, and Z. Vranesic. Memory-system design onsiderations for dynamically-scheduled processors. In 24th Annual International Symposium on Computer Architecture, June 1997.
    [14]
    K. Farkas and N. Jouppi. Complexity/performance tradeoffs with non-blocking loads. In 21st Annual International Symposium on Computer Architecture, pages 211-222, April 1994.
    [15]
    M. Farrens and A.Pleszkun. Implementation of the pipe processor. IEEE Computer, January 1991.
    [16]
    J. Gonzalez and A. Gonzalez. Speculative execution via address prediction and data prefetching. In 11th International Conference on Supercomputing, pages 196-203, July 1997.
    [17]
    G.P. Jones and N.P. Topham. A comparison of data prefetching on an access decoupled and superscalar machine. In 30th International Symposium on Microarchitecture, December 1997.
    [18]
    D. Joseph and D. Grunwald. Prefetching using markov predictors. In 24th Annual International Symposium on Computer Architecture, June 1997.
    [19]
    N. Jouppi. Improving direct-mapped cache performance by the addition of a small fully associative cache and prefetch buffers. In Proceedings of the 17th Annual International Symposium on Computer Architecture, May 1990.
    [20]
    S. McFarling. Combining branch predictors. Technical Report TN- 36, Digital Equipment Corporation, Western Research Lab, June 1993.
    [21]
    T.C. Mowry, M.S. Lam, and A. Gupta. Design and evaluation of a compiler algorithm for prefetching. In Proceedings of the Fifth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-V), October 1992.
    [22]
    S. Palacharla and R. Kessler. Evaluating stream buffers as secondary cache replacement. In 21st Annual International Symposium on Computer Architecture, April 1994.
    [23]
    G. Reinman, B. Calder, and T. Austin. Fetch-directed instruction prefetching. In 32nd International Symposium on Microarchitecture, November 1999.
    [24]
    G. Reinman, B. Calder, and T. Austin. A power efficient speculative fetch architecture. Technical Report UCSD-CS2000-0657, University of Califonia, San Diego, June 2000.
    [25]
    A. Roth, A. Moshovos, and G. Sohi. Dependence based prefetching for linked data structures. In Eigth International Conference on Architectural Support for Programming Languages and Operating Systems, October 1998.
    [26]
    A. Roth and G. Sohi. Effective jump-pointer prefetching for linked data structures. In 26th Annual International Symposium on Computer Architecture, May 1999.
    [27]
    A. Saulsbury, F. Dahlgren, and P. Stenstrom. Recency-based tbl preloading. In 27th Annual International Symposium on Computer Architecture, June 2000.
    [28]
    Y. Sazeides and J. E. Smith. The predictability of data values. In 30th International Symposium on Microarchitecture, pages 248-258, December 1997.
    [29]
    Y. Sazeides and J. E. Smith. Modeling program predictability. In 25th Annual International Symposium on Computer Architecture, June 1998.
    [30]
    T. Sherwood and B. Calder. Time varying behavior of programs. Technical Report UCSD-CS99-630, University of Califonia, San Diego, August 1999.
    [31]
    J. E. Smith and W.-C. Hsu. Prefetching in supercomputer instruction caches. In Proceedings of Supercomputing, November 1992.
    [32]
    K. Wang and M. Franklin. Highly accurate data value prediction using hybrid predictors. In 30th Annual International Symposium on Microarchitecture, December 1997.
    [33]
    C. Yang and A. Lebeck. Push vs. pull: Data movement for linked data structures. In In the ACM International Conference on Supercomputing, June 2000.

    Cited By

    View all
    • (2022)Characterizing Prefetchers using CacheObserver2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD)10.1109/SBAC-PAD55451.2022.00028(170-179)Online publication date: Nov-2022
    • (2020)RnR: A Software-Assisted Record-and-Replay Hardware Prefetcher2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO50266.2020.00057(609-621)Online publication date: Oct-2020
    • (2019)Evaluation of Hardware Data Prefetchers on Server ProcessorsACM Computing Surveys10.1145/331274052:3(1-29)Online publication date: 18-Jun-2019
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO 33: Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture
    December 2000
    357 pages
    ISBN:1581131968
    DOI:10.1145/360128
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 December 2000

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    MICRO00
    Sponsor:

    Acceptance Rates

    MICRO 33 Paper Acceptance Rate 31 of 110 submissions, 28%;
    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Upcoming Conference

    MICRO '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)128
    • Downloads (Last 6 weeks)10

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Characterizing Prefetchers using CacheObserver2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD)10.1109/SBAC-PAD55451.2022.00028(170-179)Online publication date: Nov-2022
    • (2020)RnR: A Software-Assisted Record-and-Replay Hardware Prefetcher2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO50266.2020.00057(609-621)Online publication date: Oct-2020
    • (2019)Evaluation of Hardware Data Prefetchers on Server ProcessorsACM Computing Surveys10.1145/331274052:3(1-29)Online publication date: 18-Jun-2019
    • (2019)Stream-based memory access specialization for general purpose processorsProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3322229(736-749)Online publication date: 22-Jun-2019
    • (2016)A Survey of Recent Prefetching Techniques for Processor CachesACM Computing Surveys10.1145/290707149:2(1-35)Online publication date: 2-Aug-2016
    • (2014)A Primer on Hardware PrefetchingSynthesis Lectures on Computer Architecture10.2200/S00581ED1V01Y201405CAC0289:1(1-67)Online publication date: 31-May-2014
    • (2012)Application data prefetching on the IBM blue gene/Q supercomputerProceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis10.5555/2388996.2389116(1-8)Online publication date: 10-Nov-2012
    • (2012)Transactional prefetchingProceedings of the 21st international conference on Parallel architectures and compilation techniques10.1145/2370816.2370844(181-190)Online publication date: 19-Sep-2012
    • (2012)Predicting timing violations through instruction-level path sensitization analysisProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228555(1074-1081)Online publication date: 3-Jun-2012
    • (2011)Extended historiesProceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers10.1145/1944862.1944875(67-76)Online publication date: 24-Jan-2011
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media