Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1150343.1150364acmconferencesArticle/Chapter ViewAbstractPublication PagessbcciConference Proceedingsconference-collections
Article

Application driven traffic modeling for NoCs

Published: 28 August 2006 Publication History

Abstract

The network on chip (NoC) design process requires an adequate characterization of the application running on it to optimize communication resources utilization and dimensioning. The traffic modeling process is the most essential step for characterizing complex applications. It is possible to identify three methods to model traffic in NoC literature. The first one assumes sources continually send data at a constant rate to the network and it is the most commonly used. The second method employs probabilistic functions to model the traffic behavior for typical applications, as audio and video streams. The accuracy of this method is better, at the extra cost of modeling complexity and simulation time. The third method employs traffic traces to evaluate network performance. Even with small traces, simulation time can be prohibitive. The advantage is accuracy, superior to the previous models. Even if a given application is correctly modeled, other flows interfere on how the application traffic behaves within the network. Results about the mutual interference of different traffic flows in NoCs are scarce. This work has two main objectives: (i) compare NoC performance, in terms of throughput and latency, when different traffic models are used for the same application; (ii) evaluate the impact of network noise traffic on some specific modeled flow. Preliminary results show how far is the real NoC performance for a given application when an oversimplified model is employed. The conclusion is that NoCs must employ internal mechanisms to ensure QoS, since noise traffic makes modeled traffic to depart from its predicted behavior.

References

[1]
Keutzer, K. et al. "System-Level Design: Orthogonalization of Concerns and Platform-Based Design". IEEE Transactions on Computer-Aided Design, v.19(12), 2000, pp. 1523--1543.
[2]
Benini, L. De Micheli, G. "Networks on chips: a new SoC paradigm". IEEE Comp., v.35(1), 2002, pp. 70--78.
[3]
Bolotin E. et al. "QNoC: QoS architecture and design process for network on chip". JSA, v.50(2-3), 2004, pp. 105--128.
[4]
Genko, N. et al. "A Complete Network-On-Chip Emulation Framework". In: DATE'05, pp. 246--251.
[5]
Ost, L. et al. "MAIA - A Framework for Networks on Chip Generation and Verification". In: ASP-DAC'05, pp. 49--52.
[6]
Hu, J.; Marculescu, R. "Dyad - Smart routing for networks on chip". In: DAC'04, pp. 260--263.
[7]
Yum, K. et al. "Investigating QoS Support for Traffic Mixes with the MediaWorm Router". In: 6th HPCA'00, pp. 97--106.
[8]
Santi, S. et al. "On the Impact of Traffic Statistics on Quality of Service for Networks on Chip". In: ISCAS'05, pp. 2349--2352.
[9]
Harmanci, M. et al. "Quantitative Modelling an Comparison of Communication Schemes to Guarantee Quality-of-Service in Networks-on-Chip". In: ISCAS'05, pp. 1782--1785.
[10]
Kwok, T. "ATM - The New Paradigm for Internet, Intranet&Residential Broadband Services&Applications". Prentice Hall PTR, 1998, 352p.
[11]
Giroux, N.; Ganti, S. "Quality of Service in ATM Networks". Prentice Hall PTR, 1999, 252p.
[12]
Varatkar, G.; Marculescu, R. "On-Chip Traffic Modeling and Synthesis for MPEG-2 Video Applications". IEEE Transactions on VLSI Systems, v.12(1), 2004, pp. 108--119.
[13]
Tedesco, L. et al. "Traffic Generation and Performance Evaluation for Mesh-Based NoCs". In: SBCCI'05, pp. 184--189.
[14]
Pande, P. et al. "Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures". IEEE Transactions on Computers, v.54(8), 2005, pp. 1025--1040.
[15]
Leland, W. et al. "On the Self-Similar Nature of Ethernet Traffic". IEEE/ACM Transactions on Networking, v.2, 1994, pp. 1--15.
[16]
Moraes, F. et al. "Hermes: an Infrastructure for Low Area Overhead Packet-switching Networks on Chip". Integration, the VLSI Journal, v.38(1), 2004, pp. 69--93.

Cited By

View all
  • (2023)TAPU: A Transmission-Analytics Processing Unit for Accelerating Multifunctions in IoT GatewaysIEEE Internet of Things Journal10.1109/JIOT.2023.327989210:20(18181-18197)Online publication date: 15-Oct-2023
  • (2019)Performance of Synthetic Rosenblatt Process under Multicore Architecture2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA)10.1109/ICECA.2019.8822013(377-381)Online publication date: Jun-2019
  • (2016)Efficient synthetic traffic models for large, complex SoCs2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)10.1109/HPCA.2016.7446073(297-308)Online publication date: Mar-2016
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
SBCCI '06: Proceedings of the 19th annual symposium on Integrated circuits and systems design
August 2006
248 pages
ISBN:1595934790
DOI:10.1145/1150343
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 28 August 2006

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. QoS
  2. applications
  3. networks on chip
  4. traffic modeling

Qualifiers

  • Article

Conference

SBCCI06
Sponsor:
SBCCI06: 19th Symposium on Integrated Circuits and System Design
August 28 - September 1, 2006
MG, Ouro Preto, Brazil

Acceptance Rates

Overall Acceptance Rate 133 of 347 submissions, 38%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)6
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)TAPU: A Transmission-Analytics Processing Unit for Accelerating Multifunctions in IoT GatewaysIEEE Internet of Things Journal10.1109/JIOT.2023.327989210:20(18181-18197)Online publication date: 15-Oct-2023
  • (2019)Performance of Synthetic Rosenblatt Process under Multicore Architecture2019 3rd International conference on Electronics, Communication and Aerospace Technology (ICECA)10.1109/ICECA.2019.8822013(377-381)Online publication date: Jun-2019
  • (2016)Efficient synthetic traffic models for large, complex SoCs2016 IEEE International Symposium on High Performance Computer Architecture (HPCA)10.1109/HPCA.2016.7446073(297-308)Online publication date: Mar-2016
  • (2016)Statistical Traffic Properties and Model Inference for Shared Cache Interface in Multi-Core CPUsIEEE Access10.1109/ACCESS.2016.26031694(4829-4839)Online publication date: 2016
  • (2015)Implementing MVC Decoding on Homogeneous NoCsProceedings of the 2015 23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing10.1109/PDP.2015.48(387-391)Online publication date: 4-Mar-2015
  • (2015)A routing algorithm for Network-on-Chip with self-similar traffic2015 IEEE 11th International Conference on ASIC (ASICON)10.1109/ASICON.2015.7517177(1-4)Online publication date: Nov-2015
  • (2015)Primal-dual method based simultaneous functional unit and register binding2015 IEEE 11th International Conference on ASIC (ASICON)10.1109/ASICON.2015.7516909(1-4)Online publication date: Nov-2015
  • (2014)SynFullProceeding of the 41st annual international symposium on Computer architecuture10.5555/2665671.2665691(109-120)Online publication date: 14-Jun-2014
  • (2014)SynFullACM SIGARCH Computer Architecture News10.1145/2678373.266569142:3(109-120)Online publication date: 14-Jun-2014
  • (2014)SynFull: Synthetic traffic models capturing cache coherent behaviour2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA)10.1109/ISCA.2014.6853236(109-120)Online publication date: Jun-2014
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media