Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

On-chip traffic modeling and synthesis for MPEG-2 video applications

Published: 01 January 2004 Publication History

Abstract

The objective of this paper is to introduce self-similarity as a fundamental property exhibited by the bursty traffic between on-chip modules in typical MPEG-2 video applications. Statistical tests performed on relevant traces extracted from common video clips establish unequivocally the existence of self-similarity in video traffic. Using a generic tile-based communication architecture, we discuss the implications of our findings on on-chip buffer space allocation and present quantitative evaluations for typical video streams. We also describe a technique for synthetically generating traces having statistical properties similar to those obtained from real video clips. Our proposed technique speeds up buffer simulations, allows media system designers to explore architectures rapidly and use large media data benchmarks more efficiently. We believe that our findings open new directions of research with deep implications on some fundamental issues in on-chip networks design for multimedia applications.

References

[1]
{1} W. Dally and B. Towles, "Route packets, not wires: on-chip interconnection networks," in Proc. Design Automation Conf (DAC), Las Vegas, NV, June 2001.
[2]
{2} W. E. Leland et al., "On the self-similar nature of Ethernet traffic," IEEE/ACM Trans. Networking, vol. 2, pp. 1-15, Feb. 1994.
[3]
{3} J. Beran, Statistics for Long-Memory Processes. London, U.K.: Chapman & Hall, 1994.
[4]
{4} D. R. Cox, "Long-range dependence: a review," in Statistics: An Appraisal, H. A. David and H. T. David, Eds. Ames, IA: Iowa State Univ. Press, 1984.
[5]
{5} J. Beran et al., "Long-range dependence in variable-bit-rate video traffic," IEEE Trans. Commun., vol. 43, no. 2/3/4, 1995.
[6]
{6} A. Erramilli, O. Narayan, and W. Willinger, "Experimental queueing analysis with long-range dependent packet traffic," IEEE/ACM Trans. Networking, vol. 4, Apr. 1996.
[7]
{7} I. Norros, "A storage model with self-similar input," Queueing Systems, vol. 16, 1994.
[8]
{8} P. Abry and D. Veitch, "Wavelet analysis of long-range dependent traffic," IEEE Trans. Inform. Theory, vol. 44, pp. 2-15, Jan. 1998.
[9]
{9} T. Sikora, "MPEG digital video coding standards," IEEE Signal Processing Mag., vol. 14, p. 58, Sept. 1997.
[10]
{10} D. Harel, "Statecharts: a visual formalism for complex systems," Sci. Comput. Program., vol. 8, pp. 231-274, June 1987.
[11]
{11} B. B. Mandelbrot and J. R. Wallis, "Computer experiments with fractional Gaussian noises," Water Resources Research, vol. 5, pp. 228-267, Feb. 1969.
[12]
{12} B. B. Mandelbrot and M. S. Taqqu, "Robust R/S analysis of long run serial correlation," in Proc. 42nd Session ISI, 1979.
[13]
{13} {Online} http://bmrc.berkeley.edu/ftp/pub/mpeg/stat/
[14]
{14} K. Lahiri, A. Raghunathan, and S. Dey, "Evaluation of the traffic-performance characteristics of system-on-chip communication architectures," in Proc. Int. Conf. VLSI Design, Bangalore, India, Jan. 2001.
[15]
{15} A. Kalavade and P. Moghe, "A tool for performance estimation of networked embedded end-systems," in Proc. Design Automation Conf. DAC, San Francisco, CA, June 1998, pp. 257-262.
[16]
{16} K. Keutzer et al., "System-level design: orthogonalization of concerns and platform-based design," IEEE Trans. Computer-Aided Design, vol. 19, pp. 1523-1543, Dec. 2000.
[17]
{17} S. Edwards, L. Lavagno, E. A. Lee, and A. Sangiovanni-Vincentelli, "Design of embedded systems: formal models, validation, and synthesis," Proc. IEEE, vol. 85, pp. 366-390, Mar. 1997.
[18]
{18} A. Mathur, A. Dasdan, and R. Gupta, "Rate analysis for embedded systems," Trans. Design Automation Electron. Syst., vol. 3, no. 3, pp. 408-436, July 1998.
[19]
{19} A. Nandi and R. Marculescu, "System-level power/performance analysis for embedded systems design," in Proc. Design Automation Conf. (DAC), Las Vegas, NV, June 2001.
[20]
{20} A. Nandi and R. Marculescu, "Probabilistic application modeling for system-level performance analysis," in Proc. Design Automation and Test Eur. (DATE), Munich, Germany, Mar. 2001.
[21]
{21} K. Lahiri, A. Raghunathan, and S. Dey, "Fast performance analysis of bus-based system-on-chip communication architecture," in Proc. Int. Conf. Computer-Aided Design (ICCAD), Nov. 1999, pp. 566-572.
[22]
{22} M. Gasteir and M. Glesner, "Bus-based communication synthesis on system level," Trans. Design Automation Electron. Syst., pp. 1-11, Jan. 1999.
[23]
{23} T. Yen and W. Wolf, "Communication synthesis for distributed embedded systems," in Proc. Int. Conf Computer-Aided Design (ICCAD), Nov. 1995, pp. 288-294.
[24]
{24} J.A. Rowson and A. Sangiovanni-Vincentelli, "Interface based design," in Proc. Design Automation Conf. (DAC), June 1997, pp. 178-183.
[25]
{25} J. Daveau, T. B. Ismail, and A. A. Jerraya, "Synthesis of system-level communication by an allocation based approach," in Proc. Int. Symp. System Synthesis (ISSS), 1995, pp. 150-155.
[26]
{26} K. Park and W. Willinger, Eds., Self-Similar Network Traffic and Performance Evaluation. New York: Wiley, 2000.
[27]
{27} M. Sgroi et al., "Addressing the system-on-a-chip interconnect woes through communication-based design," in Proc. Design Automation Conf. (DAC), Las Vegas, 2001.
[28]
{28} F. Karim, A. Nguyen, S. Dey, and R. Rao, "On-chip communication architecture for OC-768 network processors," in Proc. Design Automation Conf. (DAC), Las Vegas, June 2001.
[29]
{29} V. Paxson and S. Floyd, "Wide-area traffic: the failure of poisson modeling," in Proc. Association for Computing Machinery (ACM) SIGCOMM'94, London, U.K., 1994.
[30]
{30} V. Paxson. (1995) Fast approximation of self-similar network traffic. {Online}. Available: ftp://ftp.ee.lbl.gov/papers/fast-approx-selfsim.ps.Z
[31]
{31} C. Huang, M. Devetsikiotis, I. Lambadaris, and A. Roger Kaye, "Modeling and simulation of self-similar variable bit rate compressed video: a unified approach," in Proc. Association Computing Machinery (ACM) SIGCOMM, 1995, pp. 114-125.
[32]
{32} D. Turaga and T. Chen, "Hierarchical modeling of variable bit rate video sources," in Proc. Packet Video 2001, Kyongju, Korea, Apr. 30-May. 1 2001.
[33]
{33} D. Turaga and T. Chen, "Activity-adaptive modeling of dynamic multimedia traffic," in IEEE Int. Conf. Multimedia Expo., New York, NY, July 2000.
[34]
{34} A. Hemani et al., "Network on chip: an architecture for billion transistor era," in Proc. IEEE Norchip Conf., Nov. 2000, pp. 117-124.
[35]
{35} T. Karagiannis, M. Faloutsos, and R. Riedi, "Long-range dependence: now you see it, now you don't!," in Proc. Global Internet Symp., Taipei, Talwan, R.O.C. Nov. 2002.
[36]
{36} M.W. Garrett arid W. Willinger, "Analysis, modeling and generation of self-similar VBR video traffic," in Proc. Association Computing Machinery (ACM) SIGCOMM, 1994, pp. 269-280.

Cited By

View all
  • (2022)Congestion aware low power on chip protocols with network on chip with cloud securityJournal of Cloud Computing: Advances, Systems and Applications10.1186/s13677-022-00307-411:1Online publication date: 9-Sep-2022
  • (2019)A Low-Cost Test Solution for Reliable Communication in Networks-on-ChipJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05792-135:2(215-243)Online publication date: 1-Apr-2019
  • (2018)SystemC Language Usage as the Alternative to the HDL and High-level Modeling for NoC SimulationInternational Journal of Embedded and Real-Time Communication Systems10.4018/IJERTCS.20180701029:2(18-31)Online publication date: 1-Jul-2018
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image IEEE Transactions on Very Large Scale Integration (VLSI) Systems
IEEE Transactions on Very Large Scale Integration (VLSI) Systems  Volume 12, Issue 1
January 2004
122 pages

Publisher

IEEE Educational Activities Department

United States

Publication History

Published: 01 January 2004

Author Tags

  1. communication analysis
  2. long-range dependence
  3. on-chip networks
  4. self-similarity
  5. system-level design

Qualifiers

  • Research-article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2022)Congestion aware low power on chip protocols with network on chip with cloud securityJournal of Cloud Computing: Advances, Systems and Applications10.1186/s13677-022-00307-411:1Online publication date: 9-Sep-2022
  • (2019)A Low-Cost Test Solution for Reliable Communication in Networks-on-ChipJournal of Electronic Testing: Theory and Applications10.1007/s10836-019-05792-135:2(215-243)Online publication date: 1-Apr-2019
  • (2018)SystemC Language Usage as the Alternative to the HDL and High-level Modeling for NoC SimulationInternational Journal of Embedded and Real-Time Communication Systems10.4018/IJERTCS.20180701029:2(18-31)Online publication date: 1-Jul-2018
  • (2018)An Online Learning Methodology for Performance Modeling of Graphics ProcessorsIEEE Transactions on Computers10.1109/TC.2018.284071067:12(1677-1691)Online publication date: 1-Dec-2018
  • (2017)Performance of Gaussian and Non-Gaussian Synthetic Traffic on Networks-on-ChipInternational Journal of Multimedia Data Engineering & Management10.4018/IJMDEM.20170401048:2(33-42)Online publication date: 1-Apr-2017
  • (2017)Dynamic Traffic Regulation in NoC-Based SystemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.258478125:2(556-569)Online publication date: 1-Feb-2017
  • (2016)Integrated Through-Silicon Via Placement and Application Mapping for 3D Mesh-Based NoC DesignACM Transactions on Embedded Computing Systems10.1145/296844616:1(1-25)Online publication date: 3-Nov-2016
  • (2016)Performance Evaluation of NoC-Based Multicore SystemsACM Transactions on Design Automation of Electronic Systems10.1145/287063321:3(1-38)Online publication date: 11-May-2016
  • (2016)SystemC NoC simulation as the alternative to the HDL and high-level modelingProceedings of the 18th Conference of Open Innovations Association FRUCT10.1109/FRUCT-ISPIT.2016.7561540(285-290)Online publication date: 25-Apr-2016
  • (2016)Synthesis of dependency-aware traffic generators from NoC simulation tracesJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2016.10.00471:C(102-113)Online publication date: 1-Nov-2016
  • Show More Cited By

View Options

View options

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media