Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3123939.3124548acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article
Public Access

Memory cocktail therapy: a general learning-based framework to optimize dynamic tradeoffs in NVMs

Published: 14 October 2017 Publication History

Abstract

Non-volatile memories (NVMs) have attracted significant interest recently due to their high-density, low static power, and persistence. There are, however, several challenges associated with building practical systems from NVMs, including limited write endurance and long latencies. Researchers have proposed a variety of architectural techniques which can achieve different tradeoffs between lifetime, performance and energy efficiency; however, no individual technique can satisfy requirements for all applications and different objectives. Hence, we propose Memory Cocktail Therapy (MCT), a general, learning-based framework that adaptively chooses the best techniques for the current application and objectives.
Specifically, MCT performs four procedures to adapt the techniques to various scenarios. First, MCT formulates a high-dimensional configuration space from all different combinations of techniques. Second, MCT selects primary features from the configuration space with lasso regularization. Third, MCT estimates lifetime, performance and energy consumption using lightweight online predictors (eg. quadratic regression and gradient boosting) and a small set of configurations guided by the selected features. Finally, given the estimation of all configurations, MCT selects the optimal configuration based on the user-defined objectives. As a proof of concept, we test MCT's ability to guarantee different lifetime targets and achieve 95% of maximum performance, while minimizing energy consumption. We find that MCT improves performance by 9.24% and reduces energy by 7.95% compared to the best static configuration. Moreover, the performance of MCT is 94.49% of the ideal configuration with only 5.3% more energy consumption.

References

[1]
Newsha Ardalani, Clint Lestourgeon, Karthikeyan Sankaralingam, and Xiaojin Zhu. 2015. Cross-architecture performance prediction (xapp) using cpu code to predict gpu performance. In Microarchitecture (MICRO), 2015 48th Annual IEEE/ACM International Symposium on. IEEE, 725--737.
[2]
Nathan Binkert, Bradford Beckmann, Gabriel Black, Steven K. Reinhardt, Ali Saidi, Arkaprava Basu, Joel Hestness, Derek R. Hower, Tushar Krishna, Somayeh Sardashti, Rathijit Sen, Korey Sewell, Muhammad Shoaib, Nilay Vaish, Mark D. Hill, and David A. Wood. 2011. The Gem5 Simulator. SIGARCH Comput. Archit. News 39, 2 (2011), 1--7.
[3]
Ramazan Bitirgen, Engin Ipek, and Jose F Martinez. 2008. Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach. In Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 318--329.
[4]
Zhaoxia Deng, Ariel Feldman, Stuart A Kurtz, and Frederic T. Chong. 2017. Lemonade from Lemons: Harnessing Device Wearout to Create Limited-Use Security Architectures. In Proceedings of the 44th Annual International Symposium on Computer Architecture. ACM, 361--374.
[5]
Zhaoxia Deng, Lunkai Zhang, Diana Franklin, and Frederic T Chong. 2015. Herniated hash tables: Exploiting multi-level phase change memory for in-place data expansion. In Proceedings of the 2015 International Symposium on Memory Systems. ACM, 247--257.
[6]
Xiangyu Dong, Cong Xu, Yuan Xie, and Norman P Jouppi. 2012. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on 31, 7 (2012), 994--1007.
[7]
Christophe Dubach, Timothy M Jones, Edwin V Bonilla, and Michael FP O'Boyle. 2010. A predictive model for dynamic microarchitectural adaptivity control. In Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 485--496.
[8]
Evelyn Duesterwald, Calin Cascaval, and Sandhya Dwarkadas. 2003. Characterizing and predicting program behavior and its variability. In Parallel Architectures and Compilation Techniques, 2003. PACT 2003. Proceedings. 12th International Conference on. IEEE, 220--231.
[9]
Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, and Yale N Patt. 2010. Fairness via source throttling: a configurable and high-performance fairness substrate for multi-core memory systems. In ACM Sigplan Notices, Vol. 45. ACM, 335--346.
[10]
Jerome H Friedman. 2002. Stochastic gradient boosting. Computational Statistics & Data Analysis 38, 4 (2002), 367--378.
[11]
Andy Georges, Dries Buytaert, Lieven Eeckhout, and Koen De Bosschere. 2004. Method-level phase behavior in Java workloads. ACM SIGPLAN Notices 39, 10 (2004), 270--287.
[12]
Dibakar Gope and Mikko H Lipasti. 2014. Bias-free branch predictor. In Microarchitecture (MICRO), 2014 47th Annual IEEE/ACM International Symposium on. IEEE, 521--532.
[13]
Edward Grochowski and Robert E Fontana Jr. 2012. Future technology challenges for NAND flash and HDD products. Flash Memory Summit (2012).
[14]
Andrew Hay, Karin Strauss, Timothy Sherwood, Gabriel H Loh, and Doug Burger. 2011. Preventing PCM banks from seizing too much power. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 186--195.
[15]
Henry Hoffmann. 2015. JouleGuard: energy guarantees for approximate applications. In Proceedings of the 25th Symposium on Operating Systems Principles. ACM, 198--214.
[16]
Engin Ipek, Onur Mutlu, José F Martínez, and Rich Caruana. 2008. Self-optimizing memory controllers: A reinforcement learning approach. In Computer Architecture, 2008. ISCA'08. 35th International Symposium on. IEEE, 39--50.
[17]
Aamer Jaleel. 2006. SPEC CPU 2006 Memory workload characterization, http://www.jaleels.org/ajaleel/. (2006).
[18]
Jaeyong Jeong, Sangwook Shane Hahn, Sungjin Lee, and Jihong Kim. 2014. Lifetime improvement of NAND flash-based storage systems using dynamic program and erase scaling. In FAST. 61--74.
[19]
Daniel A Jiménez and Calvin Lin. 2001. Dynamic branch prediction with perceptrons. In High-Performance Computer Architecture, 2001. HPCA. The Seventh International Symposium on. IEEE, 197--206.
[20]
Benjamin C Lee and David M Brooks. 2006. Accurate and efficient regression modeling for microarchitectural performance and power prediction. In ACM SIGOPS Operating Systems Review, Vol. 40. ACM, 185--194.
[21]
Benjamin C Lee, Engin Ipek, Onur Mutlu, and Doug Burger. 2009. Architecting phase change memory as a scalable dram alternative. In ACM SIGARCH Computer Architecture News, Vol. 37. ACM, 2--13.
[22]
Hsien-Hsin S Lee, Gary S Tyson, and Matthew K Farrens. 2000. Eager writeback-a technique for improving bandwidth utilization. In Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture. ACM, 11--21.
[23]
Bing Li, ShuChang Shan, Yu Hu, and Xiaowei Li. 2014. Partial-SET: write speedup of PCM main memory. In Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014. IEEE, 1--4.
[24]
Qingan Li, Lei Jiang, Youtao Zhang, Yanxiang He, and Chun Jason Xue. 2013. Compiler directed write-mode selection for high performance low power volatile PCM. ACM SIGPLAN Notices 48, 5 (2013), 101--110.
[25]
Sheng Li, Jung Ho Ahn, Richard D Strong, Jay B Brockman, Dean M Tullsen, and Norman P Jouppi. 2009. McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. In Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on. IEEE, 469--480.
[26]
Owen Y Loh and Horacio D Espinosa. 2012. Nanoelectromechanical contact switches. Nature nanotechnology 7, 5 (2012), 283--295.
[27]
Joao Mendes-Moreira, Carlos Soares, Alípio Mário Jorge, and Jorge Freire De Sousa. 2012. Ensemble approaches for regression: A survey. ACM Computing Surveys (CSUR) 45, 1 (2012), 10.
[28]
Nikita Mishra, John D. Lafferty, and Henry Hoffmann. 2017. ESP: A Machine Learning Approach to Estimating Application Interference. To appear in proceedings of the 14th IEEE-International conference on Automatic Computing, 2017. A preprint available at: http://people.cs.uchicago.edu/~hankhoffmann/mishra-icac2017.pdf. (2017).
[29]
Nikita Mishra, Huazhe Zhang, John D Lafferty, and Henry Hoffmann. 2015. A probabilistic graphical model-based approach for minimizing energy under performance constraints. In ACM SIGPLAN Notices, Vol. 50. ACM, 267--281.
[30]
Prashant J Nair, Chiachen Chou, Bipin Rajendran, and Moinuddin K Qureshi. 2015. Reducing read latency of phase change memory via early read and turbo read. In High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on. IEEE, 309--319.
[31]
M. Poremba and Yuan Xie. 2012. NVMain: An Architectural-Level Main Memory Simulator for Emerging Non-volatile Memories. In VLSI (ISVLSI), 2012 IEEE Computer Society Annual Symposium on. 392--397.
[32]
Moinuddin K Qureshi, Michele M Franceschini, Ashish Jagmohan, and Luis A Lastras. 2012. PreSET: improving performance of phase change memories by exploiting asymmetry in write times. ACM SIGARCH Computer Architecture News 40, 3 (2012), 380--391.
[33]
Moinuddin K Qureshi, Michele M Franceschini, and Luis A Lastras-Montano. 2010. Improving read performance of phase change memories via write cancellation and write pausing. In High Performance Computer Architecture (HPCA), 2010 IEEE 16th International Symposium on. IEEE, 1--11.
[34]
Moinuddin K Qureshi, Aamer Jaleel, Yale N Patt, Simon C Steely, and Joel Emer. 2007. Adaptive insertion policies for high performance caching. In ACM SIGARCH Computer Architecture News, Vol. 35. ACM, 381--391.
[35]
Moinuddin K Qureshi, John Karidis, Michele Franceschini, Vijayalakshmi Srinivasan, Luis Lastras, and Bulent Abali. 2009. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 14--23.
[36]
Moinuddin K Qureshi, Vijayalakshmi Srinivasan, and Jude A Rivers. 2009. Scalable high performance main memory system using phase-change memory technology. ACM SIGARCH Computer Architecture News 37, 3 (2009), 24--33.
[37]
Hebatallah Saadeldeen, Diana Franklin, Guoping Long, Charlotte Hill, Aisha Browne, Dmitri Strukov, Timothy Sherwood, and Frederic T Chong. 2013. Memristors for neural branch prediction: a case study in strict latency and write endurance challenges. In Proceedings of the ACM International Conference on Computing Frontiers. ACM, 26.
[38]
SanDisk. 2015. SanDisk and HP launch partnership to create memory-driven computing solutions. (2015). https://www.sandisk.com/about/media-center/press-releases/2015/sandisk-and-hp-launch-partnership.
[39]
Robert E Schapire. 1990. The strength of weak learnability. Machine learning 5, 2 (1990), 197--227.
[40]
Stuart Schechter, Gabriel H Loh, Karin Strauss, and Doug Burger. 2010. Use ECP, not ECC, for hard failures in resistive memories. In ACM SIGARCH Computer Architecture News, Vol. 38. ACM, 141--152.
[41]
Xipeng Shen, Yutao Zhong, and Chen Ding. 2004. Locality phase prediction. ACM SIGPLAN Notices 39, 11 (2004), 165--176.
[42]
Timothy Sherwood, Erez Perelman, Greg Hamerly, and Brad Calder. 2002. Automatically characterizing large scale program behavior. In ACM SIGARCH Computer Architecture News, Vol. 30. ACM, 45--57.
[43]
Timothy Sherwood, Suleyman Sair, and Brad Calder. 2003. Phase tracking and prediction. In ACM SIGARCH Computer Architecture News, Vol. 31. ACM, 336--349.
[44]
StatTrek.com. 2017. Coefficient of determination, http://stattrek.com/statistics/dictionary.aspx?definition=coefficient_of_determination. (2017).
[45]
Guangyu Sun, Xiangyu Dong, Yuan Xie, Jian Li, and Yiran Chen. 2009. A novel architecture of the 3D stacked MRAM L2 cache for CMPs. In High Performance Computer Architecture, 2009. HPCA 2009. IEEE 15th International Symposium on. IEEE, 239--249.
[46]
Elvira Teran, Zhe Wang, and Daniel A Jiménez. 2016. Perceptron learning for reuse prediction. In Microarchitecture (MICRO), 2016 49th Annual IEEE/ACM International Symposium on. IEEE, 1--12.
[47]
Robert Tibshirani. 1996. Regression shrinkage and selection via the lasso. Journal of the Royal Statistical Society. Series B (Methodological) (1996), 267--288.
[48]
Rujia Wang, Youtao Zhang, and Jun Yang. 2016. ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout. In Dependable Systems and Networks (DSN), 2016 46th Annual IEEE/IFIP International Conference on. IEEE, 203--214.
[49]
Cong Xu, Dimin Niu, Naveen Muralimanohar, Rajeev Balasubramonian, Tao Zhang, Shimeng Yu, and Yuan Xie. 2015. Overcoming the challenges of cross-bar resistive memory architectures. In High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on. IEEE, 476--488.
[50]
Cong Xu, Dimin Niu, N. Muralimanohar, R. Balasubramonian, Tao Zhang, Shimeng Yu, and Yuan Xie. 2015. Overcoming the challenges of crossbar resistive memory architectures. In High Performance Computer Architecture (HPCA), 2015 IEEE 21st International Symposium on. 476--488.
[51]
Lunkai Zhang, Brian Neely, Diana Franklin, Dmitri Strukov, Yuan Xie, and Frederic T Chong. 2016. Mellow writes: Extending lifetime in resistive memories through selective slow write backs. In Computer Architecture (ISCA), 2016 ACM/IEEE 43rd Annual International Symposium on. IEEE, 519--531.
[52]
Lunkai Zhang, Dmitri Strukov, Hebatallah Saadeldeen, Dongrui Fan, Mingzhe Zhang, and Diana Franklin. 2014. SpongeDirectory: Flexible sparse directories utilizing multi-level memristors. In Proceedings of the 23rd international conference on Parallel architectures and compilation. ACM, 61--74.
[53]
Mingzhe Zhang, Lunkai Zhang, Lei Jiang, Zhiyong Liu, and Frederic T Chong. 2017. Balancing Performance and Lifetime of MLC PCM by Using a Region Retention Monitor. In High Performance Computer Architecture (HPCA), 2017 IEEE International Symposium on. IEEE, 385--396.
[54]
Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. In ACM SIGARCH computer architecture news, Vol. 37. ACM, 14--23.
[55]
Yanqi Zhou, Henry Hoffmann, and David Wentzlaff. 2016. CASH: Supporting IaaS customers with a sub-core configurable architecture. In Proceedings of the 43rd International Symposium on Computer Architecture. IEEE Press, 682--694.

Cited By

View all
  • (2023)NCache: A Machine-Learning Cache Management Scheme for Computational SSDsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.320876942:6(1810-1823)Online publication date: Jun-2023
  • (2022)CASHT: Contention Analysis in Shared Hierarchies with TheftsACM Transactions on Architecture and Code Optimization10.1145/349453819:1(1-27)Online publication date: 23-Jan-2022
  • (2022)A Survey of Machine Learning for Computer Architecture and SystemsACM Computing Surveys10.1145/349452355:3(1-39)Online publication date: 3-Feb-2022
  • Show More Cited By

Index Terms

  1. Memory cocktail therapy: a general learning-based framework to optimize dynamic tradeoffs in NVMs

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO-50 '17: Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture
    October 2017
    850 pages
    ISBN:9781450349529
    DOI:10.1145/3123939
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 14 October 2017

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. NVM
    2. machine learning
    3. mellow writes
    4. modeling

    Qualifiers

    • Research-article

    Funding Sources

    • NSF
    • Dept. of Energy
    • U.S. Government

    Conference

    MICRO-50
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Upcoming Conference

    MICRO '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)67
    • Downloads (Last 6 weeks)11
    Reflects downloads up to 12 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)NCache: A Machine-Learning Cache Management Scheme for Computational SSDsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.320876942:6(1810-1823)Online publication date: Jun-2023
    • (2022)CASHT: Contention Analysis in Shared Hierarchies with TheftsACM Transactions on Architecture and Code Optimization10.1145/349453819:1(1-27)Online publication date: 23-Jan-2022
    • (2022)A Survey of Machine Learning for Computer Architecture and SystemsACM Computing Surveys10.1145/349452355:3(1-39)Online publication date: 3-Feb-2022
    • (2022)Amphis: Managing Reconfigurable Processor Architectures With Generative Adversarial LearningIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319798041:11(3993-4003)Online publication date: Nov-2022
    • (2022)PCMCsim: An Accurate Phase-Change Memory Controller Simulator and its Performance Analysis2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS55109.2022.00043(300-310)Online publication date: May-2022
    • (2020)A Machine Learning Based Write Policy for SSD Cache in Cloud Block Storage2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116539(1279-1282)Online publication date: Mar-2020
    • (2020)Can Wear-Aware Memory Allocation be Intelligent?Proceedings of the 2020 ACM/IEEE Workshop on Machine Learning for CAD10.1145/3380446.3430624(83-88)Online publication date: 16-Nov-2020
    • (2019)Software wear management for persistent memoriesProceedings of the 17th USENIX Conference on File and Storage Technologies10.5555/3323298.3323303(45-63)Online publication date: 25-Feb-2019
    • (2019)From Fingerprint to FootprintProceedings of the ACM on Interactive, Mobile, Wearable and Ubiquitous Technologies10.1145/33144133:1(1-22)Online publication date: 29-Mar-2019
    • (2019)Generative and multi-phase learning for computer systems optimizationProceedings of the 46th International Symposium on Computer Architecture10.1145/3307650.3326633(39-52)Online publication date: 22-Jun-2019
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media