Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Download as docx, pdf, or txt
Download as docx, pdf, or txt
You are on page 1of 8

18 Lapping

Lapping is a precision grinding technique with loose grains, in which the workpiece and the tool slide
over each other in constantly changing directions. In combination with oil, fine grinding grains form a
lapping paste, or, with petroleum, a lapping fluid. This paste is deposited on the lapping tools, the
lapping plates (Figure 18.1).

The lapping grain moves due to the irregular sliding of lapping plate and workpiece on each other.
During sliding, both tool and lapping plate are abraded. The rate at which each part is machined
depends on its materials. Lapping plates are predominantly manufactured from grey cast iron (special
cast material) with a strength of 2000 N/cm2. The workpiece motion results from frictional coupling or a
forced guidance as shown in Figure 18.2. In the configuration shown in Figure 18.2, the workpiece
holders are in contact with a fixed rim of the gear (lying outside) and a driving ring gear (inside).

311

Figure 18.1 Surface lapping principle a) upper lapping plate, b) bottom lapping plate, c) workpiece

Figure 18.2 Hydraulic two plate-lapping- and precision grinding machine, type ZL 800 H, with planetary
driving attachment ( photo by Hahn & Kolb, Stuttgart)

312 18 Lapping

In the configuration of workpiece holders, it is necessary to look for an optimal solution for each
individual application. Internal- (hole lapping) and external cylindrical lapping are executed on lapping
machines with a vertical spindle, whose rpm can be controlled. The circumferential speed of the lapping
arbour should be between v 10 to 20 m/min. In most cases, the oscillating stroke motion is generated
hydraulically. Lapping tools for holes (Figure 18.3) consist of a hardened tapered arbour, (cone ratio 1 :
40) made of steel, which bears the intrinsic lapping sleeve made of cast iron. The sleeve is slotted in
order to readjust the lapping sleeve diameter, which becomes smaller as a result of the drive. During
lapping, the grinding grains break as a result of pressure between lapping tool and workpiece.
Consequently, new, smaller lapping grains are formed, continuously improving the surface during the
lapping procedure.

18.1 Application of the lapping technique Plane lapping is used for face machining of piston rings,
stampings, coupling rings, toothed segments and components for measuring devices (Figure 18.4). Holes
of bushings, sleeves, pump cylinders are finished with internal cylindrical lapping. Lapping is applied if
surface roughness values less than Rt 0,5 Mm and maximum accuracy to shape are required
simultaneously. Accuracy to size is from IT 4 to IT 5, allowances range from 0,02 to 0,04 mm.

ANYAR

8.1 Lapping

Lapping is a mainly room-bound process with geometrically undefined cutting edges. It is a production
and finishing process, respectively, defined as chipping with loose grains distributed in a fluid or paste
(lapping slurry) which are guided with a usually shape-transferring counterpart (lapping tool) featuring
ideally undirected cutting paths of the individual grains [DIN78a]. The ultra-precision machining method
of lapping is followed, according to the desired surface quality, by the polishing process. In polishing, the
components are pre-lapped in order to achieve high material removal while maintaining a good surface
quality and dimension and form tolerance [KOEN90]. Polishing is then performed in order to create
highest surface qualities, including a mirror finish. Lapped surfaces exhibit, almost exclusively,
undirected processing traces, a semi-gloss appearance and, when under strain, are distinguished by little
wear. Surfaces to be lapped are usually flat. If the workpieces have different geometric shapes,
correspondingly modified method variations must be applied, in part manually and with auxiliary tools.
From an economic perspective, these are not always reasonable choices of application. Given high
demands on the quality of the workpiece, however, flat or cylindrical lapping has proved competitive in
comparison to ultra-precision turning, precision grinding or honing. Often, it is the only option to
achieve the quality requirements needed. The following materials can be processed by lapping: metals,
ceramics, glasses, natural materials like marble, granite, basalt, any kind of gem, plastics, materials used
in semiconductor technology, as well as carbon, graphite and even diamond. Geometrically complex
components with little form stability can be embedded in a supporting mass (e.g. plastic), thus
expanding the area of application of lapping methods. Lapping distinguishes itself from other machining
methods through the following characteristics: • Most workpieces can be processed without being
clamped or fixed. • Allowances of 0.2 to 0.5 mm (analogue to grinding) are economically machinable
today. • Precision and ultra-precision machining can be executed in one operational step. • Changeover
times are very short. • Components of less than 0.1 mm thickness can be machined. • The highest
surface and roughness requirements can be met. • The minimal heating effect prevents undesired
thermal distortions and structural changes in the workpiece. • A consistent machining quality is
guaranteed for composite materials. • Lapped surfaces rarely exhibit tensile distortion or burr
formation. • It is possible to machine multiple workpieces in one operational step.

8.1.1 Fundamentals

In lapping, the work surface rubs against the workpiece surface to be processed. Machining is achieved
by means of the insertion of a lapping slurry (lapping grains and fluid) into the working gap between the
machining disc (the tool) and the workpiece. In the process, loose grains (cutting edges) are added either
continually or intermittently. The existent dependencies and interdependencies, respectively, are
complex [WAGE94]. Fig. 8-1 shows the machining process for lapping. Material removal occurs as a
result of the effect of the grain rolling in the contact zone between workpiece and machining disc
surface or grains temporarily fixed in the disc surface. The edges of the lapping grains insert themselves
into the workpiece material (Fig. 8-2). The depth of insertion depends on the surface strain and the
material, usually between 5 to 10 % of the average grain diameter. Through the vortex developing in the
fluid film (pressure and suction forces), the lapping grains straighten themselves out and become
engaged. Their edges, which are moving along cycloidal paths, engage the surface. Through the
repeated penetration of the grains into the workpiece surface, ductile materials are reshaped until
fatigue, and then successively removed. In the case of hard and brittle materials, however, microcracks
are induced on the surface of the workpiece, the interconnection of which leads to the breakaway of
particles [SIMP88]. The surface receives its appearance through the overlapping of the crater-like traces
of the total indentations from the engaged grain edges. Groove-shaped processing traces suggestive of
material removal caused by a cutting process are not visibly recognisable (Fig. 8-3). Material-dependent
hardening is associated with an embrittlement of the material and is indicated by an increased surface
hardness. It is possible to subdivide lapping methods according to the active surface of the lapping tool.
The term peripheral lapping applies when the tool axis and the workpiece surface are parallel to each
other, and side lapping when the tool axis and the workpiece surface are perpendicular to each other.
Fig. 8-4 shows some examples of different lapping methods. In the following, some lapping methods will
be introduced.

Planar Lapping Planar lapping is used to create flat surfaces with high surface qualities. Two method
variations are distinguished. In the first case, the workpieces are freely movable and are guided over the
lapping disc; in the second case they are placed in so-called carriers with restricted guidance in order to
avoid reciprocal damages. The workpieces are generally placed in dressing rings on a lapping disc – also
called a lapping plate or a machining disc. The workpiece shape accuracy which is achievable though
lapping is determined to a great extent by the flatness of the lapping disc [KLIN86]. The lapping grains
are found in a carrier fluid between the workpieces and the lapping disc. Together, both components
form the lapping slurry. The workpieces receive the contact pressure necessary for material removal
through their own weight or through additionally implemented load plates as well as pressure cylinders
(Fig. 8-5). In coplanar lapping, at least three workpieces must be in one dressing ring and carrier at the
same time. These are first placed on the lapping disc with the first planar surface, while on the opposing
side an elastic covering (rubber, plastic, felt, or the like) is fitted and loaded with a pressure plate. After
facing the first workpiece surface, the workpieces are fitted with the second surface to be processed. At
this point, the loading is now carried out without an elastic covering directly over the plane pressure
plate. Machining is continued until all the workpieces are coplanar.

Double-Disc Coplanar Lapping Different from lapping with one disc is the double-sided, simultaneous
machining of two opposing planar surfaces (Fig. 8-6). In this method, the workpieces are inserted in
geared carriers (rotating discs). These are held by pin rings or gear rings. Then they are driven, they
rotate about both their own axis and the central axis. The workpieces describe an epicycloid or
hypocycloid orbit between the machining discs (Fig. 8-7). The lapping slurry is added through the upper
machining disc. Through the change in direction of all driven axes, movement in the same direction or
opposing directions is generated either inside or outside on the machining disc. Usually, the workpieces
are only inserted in the outer part of the carriers in order to alleviate pressure on the centre ring of the
machining discs resulting from excessive surface area and a short run distance. The working pressure is
raised by the pressure system of the upper disc and can be varied as necessary. Another advantage of
the double-disc system is the dimensional control through a central scanner or external scanning, which
affords dimensional accuracies of ± 2 μm. In the method known as clean lapping, diamond or cBN
machining discs are mainly used. Constantly filtered oil or water is used as rinsing and cooling fluid,
respectively. Both soft and very hard workpiece materials, e.g. plastic or sapphire, can be machined
using this method. Depending on the machine size, level workpieces of 0.1 to 100 mm thickness or
round workpieces of 5 to 500 mm diameter can be lapped.

A particular feature of the double-disc principle is peripheral cylindrical lapping. In this method, the
workpieces are held radially in a large carrier at a slight angle to the disc axis (Fig. 8-8). This method
removes material due to the angled position of the workpieces (lateral slipping during rotation).
Accuracies of up to 0.2 μm of roundness and straightness, respectively, can be achieved. The removal
rate is 10 to 20 μm in 10 minutes.

Other Lapping Methods The principle of peripheral external cylindrical lapping is illustrated in Fig. 8-4c.
A slit in the tool enables the widening and narrowing of this sleeve-shaped tool design. This allows the
intended working pressure and the desired dimensions to be adjusted. In order to improve the shape in
terms of cylindricity and roundness, a rotational and an axial movement are superposed as in
corresponding honing processes. Workpiece and lapping shaft should have the same length. The lapping
slurry comes to the contact surface sporadically. Disc-shaped workpieces are compacted into bundles.
Appropriately shaped lapping arbors make it also possible to lap internal cylinders and holes. The arbors
can be widened and are thus adaptable to changes in diameter. Lapping ball surfaces or spherical
surfaces is still possible through appropriate tool design. The tool to be implemented has the
corresponding negative form of the component to be produced (Fig. 8-4d). The desired shape is
achieved under constant change in the direction of movement. The goal of machining is achieving very
high accuracy in the final dimensions, which is made possible by the oscillating movements of the tool.
Ultrasonic lapping is cutting with loose grains which, evenly distributed in a fluid or paste, receive
impulses through a shaped piece vibrating in the ultrasonic range. These impulses give the grains their
cutting ability (Fig. 8-4e). We must differentiate between ultrasonic lapping (also referred to as
ultrasonic polishing), which is used merely to make surface improvements, and the ultrasonic machining
of hard and brittle materials, with which method threedimensional shapes can be inserted, for example,
into engineering ceramics or glass. Whereas in the first case material is removed as in conventional
lapping, i.e. through the rolling of the grains in the lapping slurry, in the latter case the abrasive grains
are propelled onto the workpiece surface, thus effecting material removal. Because of its functional
similarity to other methods involving material removal, this process, which has become more and more
important in recent times because of the increased use of ceramic components, will be discussed in
detail in volume three of this series. So-called press lapping is used primarily for polishing, deburring and
rounding. In this process, grinding paste is pressed in closed chambers through breaches and holes in
the workpiece or on the entire surface of the parts. Repeated through-flow of the lapping paste
improves the abrasive effect and allows the machining of blind holes and other inner surfaces using
correspondingly adjusted cores and auxiliary tools [GOSG75]. The grains material used in the process are
silicon carbide or diamond. Precision polishing fulfils special demands for workpiece quality. Contrary to
planar lapping, the special cast iron lapping discs are replaced either with polishing discs composed
primarily of copper, tin or plastic or with polishing cloth. The latter can also be impregnated with
synthetic diamond powder. For a polishing slurry, a mixture is made of diamond powder and a carrier
fluid soluble in water, oil or alcohol together with other additives, e.g. antirust agents. In diamond
slurries prepared for lapping and polishing, even coarser diamond grains remain evenly distributed in
the fluid over a long period and refrain from precipitating, even if the carrier fluid has a lower viscosity
[STAE76, SABO91]. Spray devices guarantee an optimal supply of lapping slurry. The grains used range
from superfine (0.25 to 1 μm) to very coarse (20 to 40 μm). The polishing times achievable using
diamond powder are well below those using conventional lapping methods. For that reason, in spite of
the higher price for the lapping grain, the use of diamond allows for economical, high-quality processing
of both soft and hard materials. In polishing-lapping, dressing rings and workpiece-receiving rings are
generally composed of ceramic or plastic in order to avoid adhesion of the polishing disc through
undesired material sedimentation. They simultaneously redistribute the diamond grains which
penetrate the disc, remaining stuck in place. The rules and action mechanisms that apply to the normal
lapping process also apply to precision lapping. Logically, the components to be polished should be pre-
lapped beforehand. The relatively low cutting speeds allow the machining of very thin components, such
as those used in the electronics industry or in precision engineering. The planar polishing of glass can be
seen as a special lapping process. The polishing discs are made in this case of a pitch mass or a special
plastic, the polishing slurry is a mixture of metal oxides and distilled water. With this method,
workpieces of up to 500 mm in diameter can be planar-polished on machines with disc diameters of
over 1.5 m.

8.1.2 Composition of Tools and Operational Materials

The properties of the lapping disc are determined by both geometrical factors and the working material.
When machining workpieces with large surfaces, disc grooving is necessary in order to ensure that there
is an even supply of grains at all points on the lapping disc [SMIT83]. The abrasive materials mainly used
in lapping processing are silicon carbide (SiC), corundum (Al2O3), boron carbide (B4C) and, increasingly,
diamond. The lapping disc produces a rotary movement and serves as a carrier for the lapping slurry,
workpieces and dressing rings. The rotational speed must be measured so that impermissibly high
centrifugal forces are avoided. The lapping disc is generally made of special, fine-grained, perlitic cast
iron materials or of a hardened steel alloy. Among the various physical and chemical properties of the
lapping disc material, the penetration depth of the lapping grains is very often used as the decisive
value. Three ranges of hardness are distinguished: soft (< 140 HB), medium hard (140 to 220 HB) and
hard (> 220 HB) [STAE76]. A low disc hardness favours the sticking of abrasive grains into the disc
surface and leads to a chip formation on the workpiece [DAVI73]. Harder discs, however, tend to cause a
rolling of the grains in the active gap [KASA90]. In addition to grain engagement behaviour, the disc
hardness also determines disc wear and the attainable amount of removal. In general, using harder discs
leads to less disc wear and a greater amount of removal, although the dressing rings have an inferior
corrective effect [FELD90]. In order to remove the process heat, the lapping disc may be fitted with a
liquid cooling system underneath the surface. In the case of rough lapping, cooling is indispensable
because otherwise, after long machining times, the temperature of the lapping disc can rise to up to 50
°C above room temperature. This would result, in turn, to an undesired heating of the workpieces,
changes to viscosity and the increasing evaporation of the carrier fluid. The avoidance of heat and its
removal also play a decisive role, for example, in the finishing of workpieces with exacting tolerances,
since the extreme accuracy requirements involved demand the inspection of the geometry and flatness,
as well as the parallelism of the workpieces at the usual reference temperature of 20 °C. In order to
achieve level workpiece surfaces, it must be ensured that the lapping disc, subject as it is to wear over
the course of processing, retains its level shape. This is one of the main tasks of the dressing rings. For
certain processing cases, it may be required that the lapping disc have a slightly convex or concave
shape. In addition to the lapping disc as active partner, the carrier fluid also determines the engagement
of the grains in the process. Through the formation of a fluid film on the lapping disc surface, the carrier
fluid both prevents direct contact between workpiece surface and tool surface and facilitates the
distribution of the grains on the lapping disc as well as the grains’ mobility in the active gap between the
workpiece surface and the disc surface [DEGN79]. The viscosity of the carrier fluid is the most essential
influence on the process. It is even generally assumed that high load capacity and viscosity can have the
result that the lapping film thickness exceeds the grain size, thus preventing an effective material
removal. Therefore, low-viscosity carrier fluids must be used in the case of small grain size [DEGN79].
Given a large quantity of lapping grains, the specific granular stress can be so greatly reduced that their
cutting effect is lessened as a result of increased sliding and rolling movements. That surface quality
improves with small concentrations can be explained by the fact that high granular stress increases grain
breakage, which reduces the effective grain size [DAVI73]. In rough lapping, the use of coarse lapping
powder is expedient for attaining high removal rates. Impermissibly high roughness values must then be
reduced in a second operational step with finer grains. The nature of the machining task dictates not
only the kind of lapping powder to be used, but also the necessary mixture ratio between grains and
carrier fluid. Typical reference values for rough lapping are 80 to 100 g lapping powder to 1 l carrier fluid
and, for ultra-precision lapping, 65 to 80 g lapping powder to 1 l carrier fluid when oil-based; when
water-based, the values increase three to four times. The determinant characteristics for the quality of
lapping powder are even grain size distribution, hardness, and the type and quantity of the grain cutting
edges. Typically used average grain sizes range approximately from 5 to 40 μm. The majority of these
are 12 to 18 μm. Fluctuations in the average grain diameter within a grain size class should never
amount to more than + 20 % [STAE76]. If individual longer participate in material removal. The choice of
lapping powder and carrier fluid is not arbitrary. Criteria for the quality of the lapping slurry are, among
others, sufficient miscibility (no agglomeration, no premature settling). Highly viscous oils or composite
media made of oil, paraffin, petroleum and other additives are typically used as carrier fluid. These must
not lubricate, must ensure a secure transport of the chips from out of the active zone and have good
cooling properties. The gradually forming fluid film may not become too thick during machining, since
this would prevent an effective material removal. Breaking the film can lead to damages resulting from
cold welding of workpiece and lapping disc. The type of lapping powder used is determined, among
other things, by the workpiece material to be processed. The combinations of lapping powder and
workpiece material given in table 8-1 have proved to be effective.

Tabel

8.1.3 Accessories

Accessories used in lapping are dressing rings and workpiece receivers, as well as devices used to weigh
down or remove weight from the workpieces and supply equipment for providing lapping slurry. The
dressing rings located on the machining disc are supported by lateral guide arms with rollers and receive
rotary motion through friction locking when the table rotates. The dressing rings have several functions
to perform in lapping: • receiving the workpieces, • continuously dressing the lapping disc during
machining to ensure the maintenance of its geometric accuracy, • the even distribution and formation
of the lapping slurry, • the removal of chips directed towards the edge of the lapping disc or into the
radially running grooves in the lapping disc designed for this purpose, • the drive for an additional
movement component of the workpieces and • removing heat [STAE76]. Geometrical changes to the
lapping disc surface can be compensated to a great extent by shifting the dressing rings towards or away
from the centre. Workpieces with round outer forms are frequently placed in the dressing rings without
any additional devices. They attach themselves during machining to the inner ring surface and are thus
set into rotary motion (internal-toothing principle). However, angular workpieces are placed in
templates or holders made of plastic, metal or wood. Their contours are adjusted to the dressing rings
and the workpieces. This prevents a collision of the parts in the ring. For purposes of mass production,
non-production times can be greatly shortened through the use of additional feeding devices. Individual
parts whose reception in dressing rings is not possible are fixed on the lapping disc by special mounts
and set in rotary motion. Lapping can only be implemented economically given a certain amount of
bearing pressure upon the surface to be processed. Otherwise, the material removed is reduced owing
to the floating of the workpiece on the lapping slurry. This is a risk when machining parts with low
weight and bodies whose surfaces are large in proportion to their mass. In such cases, an additional load
must be added. For this purpose, either load plates are used or, for example, pneumatic loading devices
that take over the raising and lowering of the load plates. The workpiece may not, however, become
deformed or distorted. Also, excessive pressure would lead to the breakage of the fluid film and to
pressure welding. In the case of very uneven and rough workpieces, elastic intermediate layers are
placed between the loading device and the workpiece in order to achieve the most even distribution of
pressure possible on the surface to be machined. If, on the other hand, too much pressure is applied to
workpieces with a high weight and a small support surface, pressure on the workpieces must be reduced
through lifting tools or pneumatic devices. Production machines are equipped to continuously supply
the lapping slurry. The lapping slurry is homogeneously mixed in one or more storage containers with
stir-mixing or turbo-mixing devices in order to ensure a steady consistency. The contact pressure has an
influence, since a constant fluid film has to be ensured at all times. The average amount of lapping slurry
consumed for planar lapping, for example, is 1 to 10 l/h for machining disc diameters from 400 to 1500
mm. Used lapping powder is not reusable because the grains are blunted or broken due to wear.
Nevertheless, the lapping mixture is partially reused, since the carrier fluid can be prepared again after
the grains and chipped material settles.

8.1.4 Parameters

As discussed above, the lapping process is influenced by numerous technologically-based marginal


conditions. An overview of these is given in Fig. 8-10.

Gambar

Kinematics partially determine the disc shape and evenness and the disc material. It also determines
how lapping slurry is distributed and how the grain is used and dictates the required machine design.
Kinematics is also closely interdependent with workpiece geometry. Similarly far-reaching
interconnections can be demonstrated for the other marginal conditions, as well. Lapping pressure and
lapping speed can be seen as the main variables in the lapping process. Since lapping is a force-bound
process, the workpiece feed rate and the removal rate cannot be adjusted directly. These depend on the
marginal conditions, i.e. the process variables. Lapping pressure plays a large role in the material
removal rate. With increasing lapping grain diameter, the material removal rate also increases [MATS66,
MART73]. It is clear from Fig. 8-11 that the material removal rate rises constantly given identical lapping
durations up to a load of approximately 16 N/cm2, a further increase in lapping pressure, however,
causes the material removal rate to sink. This course of events can be ascribed to the breaking of the
lapping grains added at the beginning of operation. This kind of process thus involves an optimal lapping
pressure which one in consideration of economical factors should not fall short of. The material removal
rate also decreases with an increasing lapping disc rotational speed, but there is a limit to how much this
variable can be increased. Above all, excessive centrifugal forces would convey the lapping slurry too
quickly from the active zone to the edge of the disc. In addition, the levelness and planar parallelism of
tall workpieces which tend to wobble can be unfavourably influenced by this.

Grafik

8.1.5 Applications

The aim of coplanar lapping is to achieve high flatness in combination with a consistent surface quality
for all workpieces. Also, the percent contact area is increased by levelling the roughness peaks. On
account of these properties, lapping is often used in the finishing of ceramic sealing rings. In the
following, we will introduce the coplanar processing of silicon-infiltrated silicon carbide (SiSiC). Fig. 8-12
shows the path of surface roughness over lapping duration. The initial state was a pre-lapped SiSiC
sample with a surface structure typical for lapping. It is clear that the roughness converges towards a
value of Ra = 0.05 μm. Influences on the lapping disc can be ignored in the process.

Table

A further important influencing variable is the concentration of abrasive particles in the active gap. This
directly affects the active normal force per grain, which in turn influences the material removal rate
achievable per grain. Higher concentrations result in the reduction of the individual grain forces and
thus also of the material removal rate per grain. However, since more grains are engaged, the overall
result is an increase in the removal rate. From a certain concentration onwards, saturation sets in (0.15
percent by weight), from which point no further increase of the material removal rate is possible.
Smaller concentrations and the lower removal rates associated with them have the further result that
the asymptotic path of the roughness curves is clearly bottomed out. The minimum is reached at a much
later point in time (Fig. 8-13). The saturation limit existing for the material removal speed, i.e. 0.15
percent by weight, is also reflected in the roughness curve. An increase in concentration does not
change the roughness curve.

You might also like