lab - 4 code
lab - 4 code
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity parallelRegister is
port( D: in std_logic_vector(3 downto 0);
clk, reset: in std_logic;
Q: out std_logic_vector(3 downto 0)
);
end parallelRegister;
architecture architect of parallelRegister is
component dflipflop
Port( d,clk,reset: in std_logic ;
q: out std_logic
);
end component;
begin
df0: dflipflop port map(d=>D(0), reset=>reset, clk=>clk, q=>Q(0));
df1: dflipflop port map(d=>D(1), reset=>reset, clk=>clk, q=>Q(1));
df2: dflipflop port map(d=>D(2), reset=>reset, clk=>clk, q=>Q(2));
df3: dflipflop port map(d=>D(3), reset=>reset, clk=>clk, q=>Q(3));
end architect;
-----------------------dflipflop-------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity dflipflop is
port( d,clk,reset: in std_logic;
q: out std_logic
);
end dflipflop;
architecture behaviour of dflipflop is
begin
dflip: process(d,clk,reset)
begin
if reset='1' then
q<='0';
elsif rising_edge(clk) then
if(d='0') then
q<='0';
elsif(d='1') then
q<='1';
end if;
end if;
end process;
end behaviour;
Output
Output