Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
  • Zheng X, Liang S and Xiong X. (2021). A hardware/software partitioning method based on graph convolution network. Design Automation for Embedded Systems. 25:4. (325-351). Online publication date: 1-Dec-2021.

    https://doi.org/10.1007/s10617-021-09255-9

  • Hou N, He F, Zhou Y and Chen Y. (2020). An efficient GPU-based parallel tabu search algorithm for hardware/software co-design. Frontiers of Computer Science: Selected Publications from Chinese Universities. 14:5. Online publication date: 1-Oct-2020.

    https://doi.org/10.1007/s11704-019-8184-3

  • Hou N, Yan X and He F. (2019). A survey on partitioning models, solution algorithms and algorithm parallelization for hardware/software co-design. Design Automation for Embedded Systems. 23:1-2. (57-77). Online publication date: 1-Jun-2019.

    https://doi.org/10.1007/s10617-019-09220-7

  • Govil N, Shrestha R and Roy Chowdhury S. (2017). PGMA. Microprocessors & Microsystems. 54:C. (83-96). Online publication date: 1-Oct-2017.

    https://doi.org/10.1016/j.micpro.2017.09.002

  • Wang A, Chen L and Xu W. (2017). XPro. ACM SIGARCH Computer Architecture News. 45:2. (69-80). Online publication date: 14-Sep-2017.

    https://doi.org/10.1145/3140659.3080219

  • Wang A, Chen L and Xu W. XPro. Proceedings of the 44th Annual International Symposium on Computer Architecture. (69-80).

    https://doi.org/10.1145/3079856.3080219

  • Shi W, Wu J, Lam S and Srikanthan T. (2016). Algorithms for bi-objective multiple-choice hardware/software partitioning. Computers and Electrical Engineering. 50:C. (127-142). Online publication date: 1-Feb-2016.

    https://doi.org/10.1016/j.compeleceng.2016.01.006

  • Paulino N, Ferreira J, Bispo J and Cardoso J. Transparent acceleration of program execution using reconfigurable hardware. Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. (1066-1071).

    /doi/10.5555/2755753.2757061

  • Wu J, Wang P, Lam S and Srikanthan T. (2013). Efficient heuristic and tabu search for hardware/software partitioning. The Journal of Supercomputing. 66:1. (118-134). Online publication date: 1-Oct-2013.

    https://doi.org/10.1007/s11227-013-0888-9

  • Li T, Shafique M, Rehman S, Radhakrishnan S, Ragel R, Ambrose J, Henkel J and Parameswaran S. CSER. Proceedings of the Conference on Design, Automation and Test in Europe. (707-712).

    /doi/10.5555/2485288.2485460

  • Wu J, Sun Q and Srikanthan T. (2012). Algorithmic aspects for multiple-choice hardware/software partitioning. Computers and Operations Research. 39:12. (3281-3292). Online publication date: 1-Dec-2012.

    https://doi.org/10.1016/j.cor.2012.04.013

  • Spacey S, Wiesemann W, Kuhn D and Luk W. (2012). Robust Software Partitioning with Multiple Instantiation. INFORMS Journal on Computing. 24:3. (500-515). Online publication date: 1-Jul-2012.

    https://doi.org/10.1287/ijoc.1110.0467

  • Shannon L and Chow P. (2011). Leveraging reconfigurability in the hardware/software codesign process. ACM Transactions on Reconfigurable Technology and Systems. 4:3. (1-27). Online publication date: 1-Aug-2011.

    https://doi.org/10.1145/2000832.2000840

  • Fu Y, Li H and Kaye M. (2010). Hardware/software codesign for a fuzzy autonomous road-following system. IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews. 40:6. (690-696). Online publication date: 1-Nov-2010.

    https://doi.org/10.1109/TSMCC.2010.2049262

  • Ahmed W and Myers D. (2010). Concept-based partitioning for large multidomain multifunctional embedded systems. ACM Transactions on Design Automation of Electronic Systems. 15:3. (1-41). Online publication date: 1-May-2010.

    https://doi.org/10.1145/1754405.1754407

  • Su A. Application of ESL synthesis on GSM edge algorithm for base station. Proceedings of the 2010 Asia and South Pacific Design Automation Conference. (732-738).

    /doi/10.5555/1899721.1899890

  • Gajski D and Vahid F. (1995). Specification and Design of Embedded Hardware-Software Systems. IEEE Design & Test. 12:1. (53-67). Online publication date: 1-Jan-2010.

    https://doi.org/10.1109/54.350695

  • Mu J and Lysecky R. (2009). Autonomous hardware/software partitioning and voltage/frequency scaling for low-power embedded systems. ACM Transactions on Design Automation of Electronic Systems. 15:1. (1-20). Online publication date: 1-Dec-2009.

    https://doi.org/10.1145/1640457.1640459

  • Traboulsi S, Bruns F, Showk A, Szczesny D, Hessel S, Gonzalez E and Bilgic A. SDL/virtual prototype co-design for rapid architectural exploration of a mobile phone platform. Proceedings of the 14th international SDL conference on Design for motes and mobiles. (239-255).

    /doi/10.5555/1812885.1812905

  • Bertels P, Heirman W, D'Hollander E and Stroobandt D. (2009). Efficient memory management for hardware accelerated Java Virtual Machines. ACM Transactions on Design Automation of Electronic Systems. 14:4. (1-18). Online publication date: 1-Aug-2009.

    https://doi.org/10.1145/1562514.1562516

  • Park J, Lee S and Chung K. (2009). A novel SoC platform based multi-IP verification and performance measurement. International Journal of Information and Communication Technology. 2:1/2. (120-131). Online publication date: 1-Jun-2009.

    https://doi.org/10.1504/IJICT.2009.026435

  • Vahid F. (2009). What is hardware/software partitioning?. ACM SIGDA Newsletter. 39:6. (1-1). Online publication date: 1-Jun-2009.

    https://doi.org/10.1145/1862900.1862901

  • Lysecky R and Vahid F. (2009). Design and implementation of a MicroBlaze-based warp processor. ACM Transactions on Embedded Computing Systems. 8:3. (1-22). Online publication date: 1-Apr-2009.

    https://doi.org/10.1145/1509288.1509294

  • Lee T, Fan Y and Tsai C. (2009). Adaptive multi-constraints in hardware-software partitioning for embedded multiprocessor FPGA systems. WSEAS Transactions on Computers. 8:2. (334-343). Online publication date: 1-Feb-2009.

    /doi/10.5555/1512677.1512689

  • Redaelli F, Santambrogio M and Memik S. (2009). An ILP formulation for the task graph scheduling problem tailored to bi-dimensional reconfigurable architectures. International Journal of Reconfigurable Computing. 2009. (1-12). Online publication date: 1-Jan-2009.

    https://doi.org/10.1155/2009/541067

  • Jigang W, Srikanthan T and Jiao T. (2008). Algorithmic aspects for functional partitioning and scheduling in hardware/software co-design. Design Automation for Embedded Systems. 12:4. (345-375). Online publication date: 1-Dec-2008.

    https://doi.org/10.1007/s10617-008-9032-0

  • Pan Z and Wells B. (2008). Hardware supported task scheduling on dynamically reconfigurable SoC architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 16:11. (1465-1474). Online publication date: 1-Nov-2008.

    https://doi.org/10.1109/TVLSI.2008.2000974

  • Lysecky R. (2008). Scalability and parallel execution of warp processing. International Journal of Parallel Programming. 36:5. (478-492). Online publication date: 1-Oct-2008.

    /doi/10.5555/1515858.1515861

  • Wu J, Srikanthan T and Zou G. (2008). New model and algorithm for hardware/software partitioning. Journal of Computer Science and Technology. 23:4. (644-651). Online publication date: 1-Jul-2008.

    https://doi.org/10.1007/s11390-008-9160-9

  • Knerr B, Holzer M and Rupp M. (2008). RRES. EURASIP Journal on Embedded Systems. 2008. (1-13). Online publication date: 1-Jan-2008.

    https://doi.org/10.1155/2008/259686

  • Mann Z, Orbán A and Arató P. (2007). Finding optimal hardware/software partitions. Formal Methods in System Design. 31:3. (241-263). Online publication date: 1-Dec-2007.

    https://doi.org/10.1007/s10703-007-0039-0

  • Santambrogio M, Memik S, Rana V, Acar U and Sciuto D. A novel SoC design methodology combining adaptive software and reconfigurable hardware. Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design. (303-308).

    /doi/10.5555/1326073.1326134

  • Chakraverty S and Kumar A. (2007). A rule-based availability-driven cosynthesis scheme. Design Automation for Embedded Systems. 11:2-3. (193-222). Online publication date: 1-Sep-2007.

    https://doi.org/10.1007/s10617-007-9005-8

  • Ha S, Kim S, Lee C, Yi Y, Kwon S and Joo Y. (2008). PeaCE. ACM Transactions on Design Automation of Electronic Systems. 12:3. (1-25). Online publication date: 17-Aug-2007.

    https://doi.org/10.1145/1255456.1255461

  • Mann Z, OrbáN A and Farkas V. (2007). Evaluating the Kernighan-Lin Heuristic for Hardware/Software Partitioning. International Journal of Applied Mathematics and Computer Science. 17:2. (249-267). Online publication date: 1-Jun-2007.

    https://doi.org/10.2478/v10006-007-0022-3

  • Lysecky R. Low-power warp processor for power efficient high-performance embedded systems. Proceedings of the conference on Design, automation and test in Europe. (141-146).

    /doi/10.5555/1266366.1266398

  • Jigang W and Srikanthan T. (2006). Algorithmic aspects of area-efficient hardware/software partitioning. The Journal of Supercomputing. 38:3. (223-235). Online publication date: 1-Dec-2006.

    https://doi.org/10.1007/s11227-006-8045-3

  • Sun F, Ravi S, Raghunathan A and Jha N. (2006). A scalable synthesis methodology for application-specific processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 14:11. (1175-1188). Online publication date: 1-Nov-2006.

    https://doi.org/10.1109/TVLSI.2006.886410

  • Mudry P, Zufferey G and Tempesti G. A dynamically constrained genetic algorithm for hardware-software partitioning. Proceedings of the 8th annual conference on Genetic and evolutionary computation. (769-776).

    https://doi.org/10.1145/1143997.1144134

  • Lysecky R, Stitt G and Vahid F. (2004). Warp Processors. ACM Transactions on Design Automation of Electronic Systems. 11:3. (659-681). Online publication date: 1-Jul-2006.

    https://doi.org/10.1145/1142980.1142986

  • Pinto A, Bonivento A, Sangiovanni-Vincentelli A, Passerone R and Sgroi M. (2004). System level design paradigms. ACM Transactions on Design Automation of Electronic Systems. 11:3. (537-563). Online publication date: 1-Jul-2006.

    https://doi.org/10.1145/1142980.1142982

  • Cheun D, Yu T, Chang S and Kim S. A technical assessment of soc methodologies and requirements for a full-blown methodology. Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part II. (451-461).

    https://doi.org/10.1007/11751588_47

  • Wu J and Srikanthan T. (2006). Low-complex dynamic programming algorithm for hardware/software partitioning. Information Processing Letters. 98:2. (41-46). Online publication date: 30-Apr-2006.

    /doi/10.5555/1140603.1711232

  • Meals B. Hierarchical decomposition algorithm for hardware/software partitioning. Proceedings of the 44th annual ACM Southeast Conference. (18-23).

    https://doi.org/10.1145/1185448.1185453

  • Wang G, Gong W and Kastner R. (2006). Application partitioning on programmable platforms using the ant colony optimization. Journal of Embedded Computing. 2:1. (119-136). Online publication date: 1-Jan-2006.

    /doi/10.5555/1370986.1370997

  • Holzer M, Knerr B, Belanović P and Rupp M. (2006). Efficient design methods for embedded communication systems. EURASIP Journal on Embedded Systems. 2006:1. (21-21). Online publication date: 1-Jan-2006.

    https://doi.org/10.1155/ES/2006/64913

  • Park J, Ryu M, Hong S and Lo Bello L. (2006). Rapid performance re-engineering of distributed embedded systems via latency analysis and k-level diagonal search. Journal of Parallel and Distributed Computing. 66:1. (19-31). Online publication date: 1-Jan-2006.

    https://doi.org/10.1016/j.jpdc.2005.06.004

  • Wu J, Srikanthan T and Yan C. Minimizing power in hardware/software partitioning. Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture. (580-588).

    https://doi.org/10.1007/11572961_47

  • Shee S, Parameswaran S and Cheung N. Novel architecture for loop acceleration. Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (297-302).

    https://doi.org/10.1145/1084834.1084908

  • Mitra A, Lajolo M and Lahiri K. SOFTENIT. Proceedings of the 15th ACM Great Lakes symposium on VLSI. (361-366).

    https://doi.org/10.1145/1057661.1057748

  • Lysecky R and Vahid F. A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. Proceedings of the conference on Design, Automation and Test in Europe - Volume 1. (18-23).

    https://doi.org/10.1109/DATE.2005.38

  • Xiong Z, Chen J and Li S. Hardware/software partitioning for platform-based design method. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (691-696).

    https://doi.org/10.1145/1120725.1120996

  • Belanovic P, Knerr B, Holzer M, Sauzon G and Rupp M. (2005). A consistent design methodology for wireless embedded systems. EURASIP Journal on Advances in Signal Processing. 2005. (2598-2612). Online publication date: 1-Jan-2005.

    https://doi.org/10.1155/ASP.2005.2598

  • Arató P, Mann Z and Orbán A. (2005). Algorithmic aspects of hardware/software partitioning. ACM Transactions on Design Automation of Electronic Systems. 10:1. (136-156). Online publication date: 1-Jan-2005.

    https://doi.org/10.1145/1044111.1044119

  • Xiong Z, Li S, Chen J and Zhang M. Use dynamic combination of two meta-heuristics to do bi-partitioning. Proceedings of the First international conference on Embedded Software and Systems. (216-221).

    https://doi.org/10.1007/11535409_30

  • Banerjee S and Dutt N. Efficient search space exploration for HW-SW partitioning. Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (122-127).

    https://doi.org/10.1145/1016720.1016752

  • Pop P, Eles P, Peng Z and Pop T. (2004). Scheduling and mapping in an incremental design methodology for distributed real-time embedded systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 12:8. (793-811). Online publication date: 1-Aug-2004.

    https://doi.org/10.1109/TVLSI.2004.831467

  • Liang J, Laffely A, Srinivasan S and Tessier R. (2004). An architecture and compiler for scalable on-chip communication. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 12:7. (711-726). Online publication date: 1-Jul-2004.

    https://doi.org/10.1109/TVLSI.2004.830919

  • Lysecky R, Stitt G and Vahid F. Warp Processors. Proceedings of the 41st annual Design Automation Conference. (659-681).

    https://doi.org/10.1145/996566.1142986

  • Pinto A, Bonivento A, Sangiovanni-Vincentelli A, Passerone R and Sgroi M. System level design paradigms. Proceedings of the 41st annual Design Automation Conference. (537-563).

    https://doi.org/10.1145/996566.1142982

  • Shannon L and Chow P. Using reconfigurability to achieve real-time profiling for hardware/software codesign. Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays. (190-199).

    https://doi.org/10.1145/968280.968308

  • Lysecky R and Vahid F. A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning. Proceedings of the conference on Design, automation and test in Europe - Volume 1.

    /doi/10.5555/968878.969076

  • Schmitz M, Al-Hashimi B and Eles P. (2004). Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Transactions on Embedded Computing Systems. 3:1. (182-217). Online publication date: 1-Feb-2004.

    https://doi.org/10.1145/972627.972636

  • Muraoka M, Nishi H, Morizawa R, Yokota H and Hamada H. Design methodology for SoC arthitectures based on reusable virtual cores. Proceedings of the 2004 Asia and South Pacific Design Automation Conference. (256-262).

    /doi/10.5555/1015090.1015150

  • López-Vallejo M and López J. (2003). On the hardware-software partitioning problem. ACM Transactions on Design Automation of Electronic Systems. 8:3. (269-297). Online publication date: 1-Jul-2003.

    https://doi.org/10.1145/785411.785412

  • Stitt G, Lysecky R and Vahid F. Dynamic hardware/software partitioning. Proceedings of the 40th annual Design Automation Conference. (250-255).

    https://doi.org/10.1145/775832.775896

  • Andritsopoulos F, Charopoulos C, Doumenis G, Karoubalis F, Mitsos Y, Petreas F, Theologitou I, Perissakis S and Reisis D. Verification of a Complex SoC. Proceedings of the conference on Design, Automation and Test in Europe: Designers' Forum - Volume 2.

    /doi/10.5555/1022685.1022954

  • Voros N, Sánchez L, Alonso A, Birbas A, Birbas M and Jerraya A. (2003). Hardware/Software Co-Design of Complex Embedded Systems. Design Automation for Embedded Systems. 8:1. (5-49). Online publication date: 1-Mar-2003.

    https://doi.org/10.1023/A:1022388018837

  • Dziri M, Samet F, Wagner F, Cesário W and Jerraya A. Combining architecture exploration and a path to implementation to build a complete SoC design flow from system specification to RTL. Proceedings of the 2003 Asia and South Pacific Design Automation Conference. (219-224).

    https://doi.org/10.1145/1119772.1119816

  • Wild T, Foag J, Pazos N and Brunnbauer W. Mapping and Scheduling for Architecture Exploration of Networking SoCs. Proceedings of the 16th International Conference on VLSI Design.

    /doi/10.5555/832285.835537

  • Soininen J and Heusala H. A design methodology for NOC-based systems. Networks on chip. (19-38).

    /doi/10.5555/903951.903954

  • Stitt G and Vahid F. Hardware/software partitioning of software binaries. Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design. (164-170).

    https://doi.org/10.1145/774572.774596

  • Noguera J and Badia R. (2002). HW/SW codesign techniques for dynamically reconfigurable architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10:4. (399-415). Online publication date: 1-Aug-2002.

    https://doi.org/10.1109/TVLSI.2002.801575

  • Green P, Edwards M and Essa S. (2002). HASoC--Towards a New Method for System-on-a-Chip Development. Design Automation for Embedded Systems. 6:4. (333-353). Online publication date: 1-Jul-2002.

    https://doi.org/10.1023/A:1016599225218

  • Wiangtong T, Cheung P and Luk W. (2002). Comparing Three Heuristic Search Methods for Functional Partitioning in Hardware–Software Codesign. Design Automation for Embedded Systems. 6:4. (425-449). Online publication date: 1-Jul-2002.

    https://doi.org/10.1023/A:1016567828852

  • Schmitz M, Al-Hashimi B and Eles P. (2002). Synthesizing Energy-Efficient Embedded Systems with LOPOCOS. Design Automation for Embedded Systems. 6:4. (401-424). Online publication date: 1-Jul-2002.

    https://doi.org/10.1023/A:1016511712014

  • Ragan D, Sandborn P and Stoaks P. A detailed cost model for concurrent use with hardware/software co-design. Proceedings of the 39th annual Design Automation Conference. (269-274).

    https://doi.org/10.1145/513918.513989

  • Chatha K and Vemuri R. (2002). Hardware-Software partitioning and pipelined scheduling of transformative applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10:3. (193-208). Online publication date: 1-Jun-2002.

    https://doi.org/10.1109/TVLSI.2002.1043323

  • Chakraverty S, Ravikumar C and Choudhuri D. An Evolutionary Scheme for Cosynthesis of Real-Time Systems. Proceedings of the 2002 Asia and South Pacific Design Automation Conference.

    /doi/10.5555/832284.835449

  • Weber S, Paul J and Thomas D. (2001). Co-RAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 9:6. (805-812). Online publication date: 1-Dec-2001.

    https://doi.org/10.1109/92.974894

  • Bjuréus P and Jantsch A. (2001). Modeling of mixed control and dataflow system in MASCOT. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 9:5. (690-703). Online publication date: 1-Oct-2001.

    https://doi.org/10.1109/92.953502

  • Panda P, Semeria L and de Micheli G. Cache-efficient memory layout of aggregate data structures. Proceedings of the 14th international symposium on Systems synthesis. (101-106).

    https://doi.org/10.1145/500001.500026

  • Pop P, Eles P, Pop T and Peng Z. An approach to incremental design of distributed embedded systems. Proceedings of the 38th annual Design Automation Conference. (450-455).

    https://doi.org/10.1145/378239.378557

  • Maas E, Herrmann D, Ernst R, Rüffer P, Hasenzahl S and Seitz M. A processor-coprocessor architecture for high end video applications. Readings in hardware/software co-design. (688-691).

    /doi/10.5555/567003.567066

  • Fernández L, Koch G, Madrid N, Vallejo M, Kloos C and Rosenstiel W. Hardware-software prototyping from LOTOS. Readings in hardware/software co-design. (590-605).

    /doi/10.5555/567003.567057

  • Vahid F and Gajski D. Incremental hardware estimation during hardware/software functional partitioning. Readings in hardware/software co-design. (516-521).

    /doi/10.5555/567003.567048

  • Balboni A, Fornaciari W and Sciuto D. Co-synthesis and co-simulation of control-dominated embedded systems. Readings in hardware/software co-design. (395-411).

    /doi/10.5555/567003.567038

  • Daveau J, Marchioro G, Ben-Ismail T and Jerraya A. Protocol selection and interface generation for HW-SW codesign. Readings in hardware/software co-design. (366-374).

    /doi/10.5555/567003.567035

  • Chou P, Ortega R and Borriello G. Interface co-synthesis techniques for embedded systems. Readings in hardware/software co-design. (358-365).

    /doi/10.5555/567003.567034

  • Wolf W. An architectural co-synthesis algorithm for distributed, embedded computing systems. Readings in hardware/software co-design. (338-349).

    /doi/10.5555/567003.567032

  • Henkel J and Ernst R. A path-based technique for estimating hardware runtime in HW/SW-Cosynthesis. Readings in hardware/software co-design. (283-288).

    /doi/10.5555/567003.567027

  • Danckaert K, Catthoor F and De Man H. System level memory optimization for hardware-software co-design. Readings in hardware/software co-design. (278-282).

    /doi/10.5555/567003.567026

  • Li Y and Wolf W. Hardware/software co-synthesis with memory hierarchies. Readings in hardware/software co-design. (265-277).

    /doi/10.5555/567003.567025

  • Yen T and Wolf W. Performance estimation for real-time distributed embedded systems. Readings in hardware/software co-design. (195-206).

    /doi/10.5555/567003.567019

  • Daveau J, Marchioro G, Valderrama C and Jerraya A. VHDL generation from SDL specifications. Readings in hardware/software co-design. (125-134).

    /doi/10.5555/567003.567013

  • Gajski D, Vahid F, Narayan S and Gong J. SpecSyn. Readings in hardware/software co-design. (108-124).

    /doi/10.5555/567003.567012

  • Ernst R. Codesign of embedded systems. Readings in hardware/software co-design. (45-54).

    /doi/10.5555/567003.567008

  • De Micheli G and Gupta R. Hardware/software co-design. Readings in hardware/software co-design. (30-44).

    /doi/10.5555/567003.567007

  • Noguera J and Badia R. A HW/SW partitioning algorithm for dynamically reconfigurable architectures. Proceedings of the conference on Design, automation and test in Europe.

    /doi/10.5555/367072.367930

  • Lahiri K, Raghunathan A and Dey S. Efficient exploration of the SoC communication architecture design space. Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design. (424-430).

    /doi/10.5555/602902.602998

  • Cuatto T, Passerone C, Sansoè C, Gregoretti F, Jurecska A and Sangiovanni-Vincentelli A. (2000). A Case Study in Embedded Systems Design. Design Automation for Embedded Systems. 6:1. (71-88). Online publication date: 1-Sep-2000.

    https://doi.org/10.1023/A:1008989409134

  • Ho Y, Man K, Tang K and Kwong S. (2000). A Codesign Approach to Real-time High Precision Control. Real-Time Systems. 19:1. (41-60). Online publication date: 7-Jul-2000.

    https://doi.org/10.1023/A:1008184206285

  • Lahiri K, Raghunathan A, Lakshminarayana G and Dey S. Communication architecture tuners. Proceedings of the 37th Annual Design Automation Conference. (513-518).

    https://doi.org/10.1145/337292.337561

  • Li Y, Callahan T, Darnell E, Harr R, Kurkure U and Stockwood J. Hardware-software co-design of embedded reconfigurable architectures. Proceedings of the 37th Annual Design Automation Conference. (507-512).

    https://doi.org/10.1145/337292.337559

  • Meerwein M, Baumgartner C and Glauert W. Linking codesign and reuse in embedded systems design. Proceedings of the eighth international workshop on Hardware/software codesign. (93-97).

    https://doi.org/10.1145/334012.334030

  • Liao S. Towards a new standard for system-level design. Proceedings of the eighth international workshop on Hardware/software codesign. (2-6).

    https://doi.org/10.1145/334012.334013

  • Lajolo M, Rebaudengo M, Roerda M, Violante M and Lavagno L. Evaluating system dependability in a co-design framework. Proceedings of the conference on Design, automation and test in Europe. (586-590).

    https://doi.org/10.1145/343647.343861

  • López-Vallejo M, Grajal J and López J. Constraint-driven system partitioning. Proceedings of the conference on Design, automation and test in Europe. (411-416).

    https://doi.org/10.1145/343647.343811

  • Verkest D, Kunkel J and Schirrmeister F. System level design using C++. Proceedings of the conference on Design, automation and test in Europe. (74-83).

    https://doi.org/10.1145/343647.343709

  • Mitra R and Basu A. (2000). Hardware-Software Partitioning. IEEE Intelligent Systems. 15:1. (54-63). Online publication date: 1-Jan-2000.

    https://doi.org/10.1109/5254.820330

  • Thiele L, Strehl K, Ziegenbein D, Ernst R and Teich J. FunState—an internal design representation for codesign. Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design. (558-565).

    /doi/10.5555/339492.340077

  • Hu X, Greenwood G, Ravichandran S and Quan G. A framework for user assisted design space exploration. Proceedings of the 36th annual ACM/IEEE Design Automation Conference. (414-419).

    https://doi.org/10.1145/309847.309970

  • Dave B and Jha N. (1999). COFTA. IEEE Transactions on Computers. 48:4. (417-441). Online publication date: 1-Apr-1999.

    https://doi.org/10.1109/12.762534

  • Hsiung P. Timing coverification of concurrent embedded real-time systems. Proceedings of the seventh international workshop on Hardware/software codesign. (110-114).

    https://doi.org/10.1145/301177.301501

  • Wolff F, Knieser M, Weyer D and Papachristou C. Using codesign techniques to support analog functionality. Proceedings of the seventh international workshop on Hardware/software codesign. (79-84).

    https://doi.org/10.1145/301177.301492

  • López-Vallejo M, López J and Iglesias C. (1999). Hardware-Software Partitioning at the Knowledge Level. Applied Intelligence. 10:2-3. (173-184). Online publication date: 1-Mar-1999.

    https://doi.org/10.1023/A:1008323819235

  • Chang J and Pedram M. Codex-dp. Proceedings of the conference on Design, automation and test in Europe. (114-es).

    https://doi.org/10.1145/307418.307566

  • De Micheli G. Hardware synthesis from C/C++ models. Proceedings of the conference on Design, automation and test in Europe. (80-es).

    https://doi.org/10.1145/307418.307527

  • Vahid F. A three-step approach to the functional partitioning of large behavioral processes. Proceedings of the 11th international symposium on System synthesis. (152-157).

    /doi/10.5555/293016.293046

  • Chatha K and Vemuri R. A tool for partitioning and pipelined scheduling of hardware-software systems. Proceedings of the 11th international symposium on System synthesis. (145-151).

    /doi/10.5555/293016.293045

  • Hwang Y and Wang Y. Communication and interface synthesis on a rapid protoyping hardware/software codesign system. Proceedings of the 11th international symposium on System synthesis. (76-79).

    /doi/10.5555/293016.293033

  • Li Y and Wolf W. Hardware/software co-synthesis with memory hierarchies. Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design. (430-436).

    https://doi.org/10.1145/288548.289066

  • Dick R and Jha N. CORDS. Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design. (62-67).

    https://doi.org/10.1145/288548.288561

  • Yen T and Wolf W. (1998). Performance Estimation for Real-Time Distributed Embedded Systems. IEEE Transactions on Parallel and Distributed Systems. 9:11. (1125-1136). Online publication date: 1-Nov-1998.

    https://doi.org/10.1109/71.735959

  • Barros E and dos Santos M. (1998). A Safe, Accurate Intravenous Infusion Control System. IEEE Micro. 18:5. (12-21). Online publication date: 1-Sep-1998.

    https://doi.org/10.1109/40.735940

  • Valderrama C, Nacabal F, Paulin P and Jerraya A. (1998). Automatic VHDL-C Interface Generation for Distributed Cosimulation. Design Automation for Embedded Systems. 3:2-3. (199-217). Online publication date: 1-Jun-1998.

    https://doi.org/10.1023/A:1008898525388

  • Cardelli S, Chiodo M, Giusto P, Jurecska A, Lavagno L, Sansoè C and Sangiovanni-Vincentelli A. (1998). Rapid-Prototyping of Embedded Systems via Reprogrammable Devices. Design Automation for Embedded Systems. 3:2-3. (149-161). Online publication date: 1-Jun-1998.

    https://doi.org/10.1023/A:1008890323570

  • Fernández L, Koch G, Madrid N, Vallejo M, Kloos C and Rosenstiel W. (1998). Hardware-Software Prototyping from LOTOS. Design Automation for Embedded Systems. 3:2-3. (117-148). Online publication date: 1-Jun-1998.

    https://doi.org/10.1023/A:1008888306732

  • Gajski D, Vahid F, Narayan S and Gong J. System-level exploration with SpecSyn. Proceedings of the 35th annual Design Automation Conference. (812-817).

    https://doi.org/10.1145/277044.277252

  • Smith J and De Micheli G. Automated composition of hardware components. Proceedings of the 35th annual Design Automation Conference. (14-19).

    https://doi.org/10.1145/277044.277048

  • Ernst R. (1998). Codesign of Embedded Systems. IEEE Design & Test. 15:2. (45-54). Online publication date: 1-Apr-1998.

    https://doi.org/10.1109/54.679207

  • Hollstein T, Becker J, Kirschbaum A and Glesner M. HiPART. Proceedings of the 6th international workshop on Hardware/software codesign. (29-33).

    /doi/10.5555/278241.278293

  • López M, Iglesias C and López J. A knowledge-based system for hardware-software partitioning. Proceedings of the conference on Design, automation and test in Europe. (914-915).

    /doi/10.5555/368058.368458

  • Pyttel A, Sedlmeier A and Veith C. PSCP. Proceedings of the conference on Design, automation and test in Europe. (370-376).

    /doi/10.5555/368058.368185

  • Allara A, Fornaciari W, Salice F and Sciuto D. A model for system-level timed analysis and profiling. Proceedings of the conference on Design, automation and test in Europe. (204-210).

    /doi/10.5555/368058.368134

  • Dave B and Jha N. CASPER. Proceedings of the conference on Design, automation and test in Europe. (118-124).

    /doi/10.5555/368058.368114

  • Srinivasan V, Radhakrishnan S and Vemuri R. Hardware/software partitioning with integrated hardware design space exploration. Proceedings of the conference on Design, automation and test in Europe. (28-35).

    /doi/10.5555/368058.368084

  • Grode J, Knudsen P and Madsen J. Hardware resource allocation for hardware/software partitioning in the LYCOS system. Proceedings of the conference on Design, automation and test in Europe. (22-27).

    /doi/10.5555/368058.368082

  • Balboni A, Fornaciari W and Sciuto D. (1998). Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach. Integrated Computer-Aided Engineering. 5:1. (39-56). Online publication date: 1-Jan-1998.

    /doi/10.5555/1275823.1275826

  • Leupers R and Marwedel P. (1998). Retargetable Code Generation Based on Structural Processor Description. Design Automation for Embedded Systems. 3:1. (75-108). Online publication date: 1-Jan-1998.

    https://doi.org/10.1023/A:1008807631619

  • Bakshi S and Gajski D. A scheduling and pipelining algorithm for hardware/software systems. Proceedings of the 10th international symposium on System synthesis. (113-118).

    /doi/10.5555/261693.261922

  • Kuchcinski K. Embedded system synthesis by timing constraints solving. Proceedings of the 10th international symposium on System synthesis. (50-57).

    /doi/10.5555/261693.261709

  • Peixoto H and Jacome M. Algorithm and architecture-level design space exploration using hierarchical data flows. Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors.

    /doi/10.5555/784893.785031

  • Bakshi S and Gajski D. Hardware/software partitioning and pipelining. Proceedings of the 34th annual Design Automation Conference. (713-716).

    https://doi.org/10.1145/266021.266349

  • Henkel J and Ernst R. A hardware/software partitioner using a dynamically determined granularity. Proceedings of the 34th annual Design Automation Conference. (691-696).

    https://doi.org/10.1145/266021.266323

  • Liao S, Tjiang S and Gupta R. An efficient implementation of reactivity for modeling hardware in the scenic design environment. Proceedings of the 34th annual Design Automation Conference. (70-75).

    https://doi.org/10.1145/266021.266037

  • Catania V, Malgeri M and Russo M. (1997). Applying Fuzzy Logic to Codesign Partitioning. IEEE Micro. 17:3. (62-70). Online publication date: 1-May-1997.

    https://doi.org/10.1109/40.591657

  • Hu X and D'ambrosio J. (1997). Hardware-Software Partitioning for Real-Time Embedded Systems. Design Automation for Embedded Systems. 2:3-4. (339-358). Online publication date: 1-May-1997.

    https://doi.org/10.1023/A:1008891704121

  • Vercauteren S and Lin B. (1997). Hardware/Software Communication and System Integration for Embedded Architectures. Design Automation for Embedded Systems. 2:3-4. (359-382). Online publication date: 1-May-1997.

    https://doi.org/10.1023/A:1008843820959

  • Houston M, Chapman R and Moore L. An internet accessible remote controlled home automation system. Proceedings of the 35th annual ACM Southeast Conference. (82-84).

    https://doi.org/10.1145/2817460.2817482

  • Danckaert K, Catthoor F and Hugo de Man . System level memory optimization for hardware-software co-design. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793519

  • Vahid F. Modifying Min-Cut for Hardware and Software Functional Partitioning. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793517

  • Edwards M. Software acceleration using programmable logic. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793511

  • Hartenstein R and Becker J. Performance Analysis in CoDe-X Partitioning for Structural Programmable Accelerators. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793509

  • Teich J, Blickle T and Thiele L. An evolutionary approach to system-level synthesis. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793501

  • Axelsson J. Architecture Synthesis and Partitioning of Real-Time Systems. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793500

  • Gogniat G, Auguin M and Belleudy C. A generic multi-unit architecture for codesign methodologies. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792768.793492

  • Vahid F. Procedure cloning. Proceedings of the 1997 European conference on Design and Test.

    /doi/10.5555/787260.787710

  • Thoen F, Van Der Steen J, de Jong G, Goossens G and De Man H. Multi-thread graph. Proceedings of the 1997 European conference on Design and Test.

    /doi/10.5555/787260.787709

  • Madsen J, Grode J, Knudsen P, Petersen M and Haxthausen A. (1997). LYCOS. Design Automation for Embedded Systems. 2:2. (195-235). Online publication date: 1-Mar-1997.

    https://doi.org/10.1023/A:1008884219274

  • Vahid F. I/O and performance tradeoffs with the FunctionBus during multi-FPGA partitioning. Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays. (27-34).

    https://doi.org/10.1145/258305.258309

  • Saha D, Basu A and Mitra R. Hardware Software Partitioning Using Genetic Algorithm. Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications.

    /doi/10.5555/523974.834871

  • Hartenstein R and Becker J. Hardware/Software Co-Design for Data-Driven Xputer-based Accelerators. Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications.

    /doi/10.5555/523974.834868

  • Shin Y and Choi K. Software synthesis through task decomposition by dependency analysis. Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design. (98-104).

    /doi/10.5555/244522.244538

  • Eles P, Peng Z, Kuchcinski K and Doboli A. (1997). System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search. Design Automation for Embedded Systems. 2:1. (5-32). Online publication date: 1-Jan-1997.

    https://doi.org/10.1023/A:1008857008151

  • Wilberg J and Camposano R. (1997). VLIW Processor Codesign for Video Processing. Design Automation for Embedded Systems. 2:1. (79-119). Online publication date: 1-Jan-1997.

    https://doi.org/10.1023/A:1008818711786

  • Eles P, Peng Z, Kuchcinski K and Doboli A. Hardware/Software Partitioning with Iterative Improvement Heuristics. Proceedings of the 9th international symposium on System synthesis.

    /doi/10.5555/524431.857935

  • Vahid , Le and Hsu . A Comparison of Functional and Structural Partitioning. Proceedings of the 9th international symposium on System synthesis.

    /doi/10.5555/524431.857919

  • Eles P, Kuchcinski K, Peng Z and Doboli A. Hardware/software partitioning of VHDL system specifications. Proceedings of the conference on European design automation. (434-439).

    /doi/10.5555/252471.252544

  • Evans D, Green P and Morris D. An integrated approach to engineering computer systems. Proceedings of the conference on European design automation. (264-269).

    /doi/10.5555/252471.252519

  • Van Rompaey K, Bolsens I, De Man H and Verkest D. CoWare—a design environment for heterogenous hardware/software systems. Proceedings of the conference on European design automation. (252-257).

    /doi/10.5555/252471.252517

  • Bender A. MILP based task mapping for heterogeneous multiprocessor systems. Proceedings of the conference on European design automation. (190-197).

    /doi/10.5555/252471.252508

  • Knieser M and Papachristou C. COMET. Proceedings of the conference on European design automation. (178-183).

    /doi/10.5555/252471.252506

  • Imai M, Binh N and Shiomi A. A new HW/SW partitioning algorithm for synthesizing the highest performance pipelined ASIPs with multiple identical FUs. Proceedings of the conference on European design automation. (126-131).

    /doi/10.5555/252471.252499

  • Rousseau F, Berge J and Israel M. Hardware/Software Partitioning for Telecommunications Systems. Proceedings of the 20th Conference on Computer Software and Applications.

    /doi/10.5555/872750.873439

  • Wolf W. (1996). Object-oriented cosynthesis of distributed embedded systems. ACM Transactions on Design Automation of Electronic Systems. 1:3. (301-314). Online publication date: 1-Jul-1996.

    https://doi.org/10.1145/234860.234861

  • Calha M, Teixeira J and Teixeira I. HW/SW specification using OOM techniques. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).

    /doi/10.5555/827259.828083

  • Voigt Knudsen P and Madsen J. Aspects of system modelling in Hardware/Software partitioning. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).

    /doi/10.5555/827259.828072

  • Xiong X, Gutberiet P and Rosenstiel W. Automatic generation of interprocess communication in the PARAGON system. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).

    /doi/10.5555/827259.828070

  • Metafas D, Karathanasis H and Blionas S. Industrial approach in design methodologies for mobile communications systems. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).

    /doi/10.5555/827259.828058

  • Valderrama C, Nacabal F, Paulin P and Jerraya A. Automatic generation of interfaces for distributed C-VHDL cosimulation of embedded systems. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).

    /doi/10.5555/827259.828050

  • Gupta R. Analysis of operation delay and execution rate constraints for embedded systems. Proceedings of the 33rd annual Design Automation Conference. (601-604).

    https://doi.org/10.1145/240518.240632

  • Bình N, Imai M, Shiomi A and Hikichi N. A hardware/software partitioning algorithm for designing pipelined ASIPs with least gate counts. Proceedings of the 33rd annual Design Automation Conference. (527-532).

    https://doi.org/10.1145/240518.240618

  • Vercauteren S, Lin B and De Man H. Constructing application-specific heterogeneous embedded architectures from custom HW/SW applications. Proceedings of the 33rd annual Design Automation Conference. (521-526).

    https://doi.org/10.1145/240518.240617

  • Adams J and Thomas D. The design of mixed hardware/software systems. Proceedings of the 33rd annual Design Automation Conference. (515-520).

    https://doi.org/10.1145/240518.240616

  • Gerndt R. A Case Study in Co-Design of Communication Controllers. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793489

  • Rose F, Carpenter T, Kumar S, Shackleton J and Honeywell T. A Model for the Coanalysis of Hardware and Software Architectures. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793488

  • Henkel J and Ernst R. The Interplay of Run-Time Estimation and Granularity in HW/SW Partitioning. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793487

  • Carreras C, Lopez J, Lopez M, Sanchez L, Delgado-Kloos C and Martinez N. A Co-Design Methodology Based on Formal Specification and High-level Estimation. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793484

  • Lin B, Vercauteren S and Imec H. Embedded Architecture Co-Synthesis and System Integration. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793482

  • Vahid F and Le T. Towards a Model for Hardware and Software Functional Partitioning. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793476

  • Hou J and Wolf W. Process Partitioning for Distributed Embedded Systems. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793474

  • Balboni A, Fornaciari W and Sciuto D. Partitioning and Exploration Strategies in the TOSCA Co-Design Flow. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793473

  • Hartenstein R, Becker J and Kress R. Two-level Partitioning of Image Processing Algorithms for the Parallel Map-oriented Machine. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793472

  • Knudsen P and Madsen J. PACE. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.

    /doi/10.5555/792767.793471

  • Bender A. Design of an Optimal Loosely Coupled Heterogeneous Multiprocessor System. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787613

  • Gong J, Gajski D and Bakshi S. Model Refinement for Hardware-Software Codesign. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787612

  • Shin Y and Choi K. Thread-based software synthesis for embedded system design. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787611

  • Niemann R and Marwedel P. Hardware/Software Partitioning using Integer Programming. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787606

  • Karkowski I and Otten R. An Automatic Hardware-Software Partitioner Based on the Possibilistic Programming.. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787605

  • Ikeda M, Okubo T, Abe T, Ito Y, Tashiro Y and Kasai R. A Hardware/Software Concurrent Design for a Real-Time SP@ML MPEG2 Video-Encoder Chip Set. Proceedings of the 1996 European conference on Design and Test.

    /doi/10.5555/787259.787548

  • Hartenstein R, Becker J, Kress R and Reinig . CoDe-C. Proceedings of the 9th International Conference on VLSI Design: VLSI in Mobile Communication.

    /doi/10.5555/525699.834759

  • Potkonjak M and Wolf W. Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (446-451).

    /doi/10.5555/224841.225083

  • Yen T and Wolf W. Communication synthesis for distributed embedded systems. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (288-294).

    /doi/10.5555/224841.225053

  • Chou P, Ortega R and Borriello G. Interface co-synthesis techniques for embedded systems. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (280-287).

    /doi/10.5555/224841.225052

  • Vahid F. Procedure exlining. Proceedings of the conference on European design automation. (508-513).

    /doi/10.5555/224270.224380

  • Shirai K and Hiwatashi J. A design system for special purpose processors based on architectures for distributed processing. Proceedings of the conference on European design automation. (380-385).

    /doi/10.5555/224270.224351

  • Toporkov V. Performance-complexity analysis in hardware-software codesign for real-time systems. Proceedings of the conference on European design automation. (340-345).

    /doi/10.5555/224270.224344

  • Vahid F and Gajski D. Closeness metrics for system-level functional partitioning. Proceedings of the conference on European design automation. (328-333).

    /doi/10.5555/224270.224342

  • Soininen J, Huttunen T, Tiensyrjä K and Heusala H. Cosimulation of real-time control systems. Proceedings of the conference on European design automation. (170-175).

    /doi/10.5555/224270.224314

  • Benner T, Ernst R and Österling A. Scalable performance scheduling for hardware-software cosynthesis. Proceedings of the conference on European design automation. (164-169).

    /doi/10.5555/224270.224312

  • Plöger P, Wilberg J, Langevin M and Composano R. WWW based structuring of codesigns. Proceedings of the 8th international symposium on System synthesis. (138-143).

    https://doi.org/10.1145/224486.224532

  • Henkel J and Ernst R. A path-based technique for estimating hardware runtime in HW/SW-cosynthesis. Proceedings of the 8th international symposium on System synthesis. (116-121).

    https://doi.org/10.1145/224486.224527

  • Vahid F and Gajski D. Clustering for improved system-level functional partitioning. Proceedings of the 8th international symposium on System synthesis. (28-35).

    https://doi.org/10.1145/224486.224492

  • Chou P, Ortega R and Borriello G. The Chinook hardware/software co-synthesis system. Proceedings of the 8th international symposium on System synthesis. (22-27).

    https://doi.org/10.1145/224486.224491

  • Madsen J and Hald B. An approach to interface synthesis. Proceedings of the 8th international symposium on System synthesis. (16-21).

    https://doi.org/10.1145/224486.224490

  • Yen T and Wolf W. Sensitivity-driven co-synthesis of distributed embedded systems. Proceedings of the 8th international symposium on System synthesis. (4-9).

    https://doi.org/10.1145/224486.224488

  • Holtmann U and Ernst R. Combining MBP-speculative computation and loop pipelining in high-level synthesis. Proceedings of the 1995 European conference on Design and Test.

    /doi/10.5555/787258.787493

  • Vahid F and Gajski D. SLIF. Proceedings of the 1995 European conference on Design and Test.

    /doi/10.5555/787258.787437

  • Carchiolo V and Malgeri M. A fuzzy approach to co-design system partitioning. Proceedings of the 1995 ACM symposium on Applied computing. (514-518).

    https://doi.org/10.1145/315891.316083

  • Goossens G, Bolsens I, Lin B and Catthoor F. Design of heterogeneous ICs for mobile and personal communication systems. Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design. (524-531).

    /doi/10.5555/191326.191544

  • Henkel J, Ernst R, Holtmann U and Benner T. Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design. (96-100).

    /doi/10.5555/191326.175193

  • Sciuto D, Antoniazzi S, Balboni A and Fornaciari W. The role of VHDL within the TOSCA hardware/software codesign framework. Proceedings of the conference on European design automation. (612-617).

    /doi/10.5555/198174.198339

  • Theißinger M, Stravers P and Veit H. CASTLE. Proceedings of the 3rd international workshop on Hardware/software co-design. (203-209).

    /doi/10.5555/947185.947218

  • Coelho C, Yang C, Mooney V and De Micheli G. Redesigning hardware-software systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (116-123).

    /doi/10.5555/947185.947204

  • Auguin M, Boeri F and Carriere C. Automatic exploration of VLIW processor architectures from a designer's experience based specification. Proceedings of the 3rd international workshop on Hardware/software co-design. (108-115).

    /doi/10.5555/947185.947203

  • Herrmann D, Henkel J and Ernst R. An approach to the adaptation of estimated cost parameters in the COSYMA system. Proceedings of the 3rd international workshop on Hardware/software co-design. (100-107).

    /doi/10.5555/947185.947202

  • Wilberg J, Camposano R and Rosenstiel W. Design flow for hardware/software cosynthesis of a video compression system. Proceedings of the 3rd international workshop on Hardware/software co-design. (73-80).

    /doi/10.5555/947185.947198

  • Gupta R and De Micheli G. Constrained software generation for hardware-software systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (56-63).

    /doi/10.5555/947185.947195

  • Eles P, Peng Z and Doboli A. VHDL system-level specification and partitioning in a hardware/software co-synthesis environment. Proceedings of the 3rd international workshop on Hardware/software co-design. (49-55).

    /doi/10.5555/947185.947194

  • D'Ambrosio J and Hu X. Configuration-level hardware/software partitioning for real-time embedded systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (34-41).

    /doi/10.5555/947185.947192

  • Auguin M, Belhadj M, benzakki J, Carrière C, Durrieu G, Gautier T, Israël M, Guernic P, Lemaître M, Martin E, Quinton P, Rideau L, Rousseau F and Sentieys O. Towards a multi-formalism framework for architectural synthesis. Proceedings of the 3rd international workshop on Hardware/software co-design. (25-32).

    /doi/10.5555/947185.947190

  • Koch G, Kebschull U and Rosenstiel W. A prototyping environment for hardware/software codesign in the COBRA project. Proceedings of the 3rd international workshop on Hardware/software co-design. (10-16).

    /doi/10.5555/947185.947188

  • Antoniazzi S, Balboni A, Fornaciari W and Sciuto D. A methodology for control-dominated systems codesign. Proceedings of the 3rd international workshop on Hardware/software co-design. (2-9).

    /doi/10.5555/947185.947187

  • Olukotun K, Helaihel R, Levitt J and Ramirez R. (1994). A Software-Hardware Cosynthesis Approach to Digital System Simulation. IEEE Micro. 14:4. (48-58). Online publication date: 1-Aug-1994.

    https://doi.org/10.1109/40.296157

  • Woo N, Dunlop A and Wolf W. (1994). Codesign from Cospecification. Computer. 27:1. (42-47). Online publication date: 1-Jan-1994.

    https://doi.org/10.1109/2.248879