Zheng X, Liang S and Xiong X.
(2021). A hardware/software partitioning method based on graph convolution network. Design Automation for Embedded Systems. 25:4. (325-351). Online publication date: 1-Dec-2021.
Hou N, He F, Zhou Y and Chen Y.
(2020). An efficient GPU-based parallel tabu search algorithm for hardware/software co-design. Frontiers of Computer Science: Selected Publications from Chinese Universities. 14:5. Online publication date: 1-Oct-2020.
Hou N, Yan X and He F.
(2019). A survey on partitioning models, solution algorithms and algorithm parallelization for hardware/software co-design. Design Automation for Embedded Systems. 23:1-2. (57-77). Online publication date: 1-Jun-2019.
Shi W, Wu J, Lam S and Srikanthan T.
(2016). Algorithms for bi-objective multiple-choice hardware/software partitioning. Computers and Electrical Engineering. 50:C. (127-142). Online publication date: 1-Feb-2016.
Paulino N, Ferreira J, Bispo J and Cardoso J. Transparent acceleration of program execution using reconfigurable hardware. Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition. (1066-1071).
Wu J, Wang P, Lam S and Srikanthan T.
(2013). Efficient heuristic and tabu search for hardware/software partitioning. The Journal of Supercomputing. 66:1. (118-134). Online publication date: 1-Oct-2013.
Wu J, Sun Q and Srikanthan T.
(2012). Algorithmic aspects for multiple-choice hardware/software partitioning. Computers and Operations Research. 39:12. (3281-3292). Online publication date: 1-Dec-2012.
Shannon L and Chow P.
(2011). Leveraging reconfigurability in the hardware/software codesign process. ACM Transactions on Reconfigurable Technology and Systems. 4:3. (1-27). Online publication date: 1-Aug-2011.
Fu Y, Li H and Kaye M.
(2010). Hardware/software codesign for a fuzzy autonomous road-following system. IEEE Transactions on Systems, Man, and Cybernetics, Part C: Applications and Reviews. 40:6. (690-696). Online publication date: 1-Nov-2010.
Ahmed W and Myers D.
(2010). Concept-based partitioning for large multidomain multifunctional embedded systems. ACM Transactions on Design Automation of Electronic Systems. 15:3. (1-41). Online publication date: 1-May-2010.
Su A. Application of ESL synthesis on GSM edge algorithm for base station. Proceedings of the 2010 Asia and South Pacific Design Automation Conference. (732-738).
Gajski D and Vahid F.
(1995). Specification and Design of Embedded Hardware-Software Systems. IEEE Design & Test. 12:1. (53-67). Online publication date: 1-Jan-2010.
Mu J and Lysecky R.
(2009). Autonomous hardware/software partitioning and voltage/frequency scaling for low-power embedded systems. ACM Transactions on Design Automation of Electronic Systems. 15:1. (1-20). Online publication date: 1-Dec-2009.
Traboulsi S, Bruns F, Showk A, Szczesny D, Hessel S, Gonzalez E and Bilgic A. SDL/virtual prototype co-design for rapid architectural exploration of a mobile phone platform. Proceedings of the 14th international SDL conference on Design for motes and mobiles. (239-255).
Park J, Lee S and Chung K.
(2009). A novel SoC platform based multi-IP verification and performance measurement. International Journal of Information and Communication Technology. 2:1/2. (120-131). Online publication date: 1-Jun-2009.
Lysecky R and Vahid F.
(2009). Design and implementation of a MicroBlaze-based warp processor. ACM Transactions on Embedded Computing Systems. 8:3. (1-22). Online publication date: 1-Apr-2009.
Lee T, Fan Y and Tsai C.
(2009). Adaptive multi-constraints in hardware-software partitioning for embedded multiprocessor FPGA systems. WSEAS Transactions on Computers. 8:2. (334-343). Online publication date: 1-Feb-2009.
Redaelli F, Santambrogio M and Memik S.
(2009). An ILP formulation for the task graph scheduling problem tailored to bi-dimensional reconfigurable architectures. International Journal of Reconfigurable Computing. 2009. (1-12). Online publication date: 1-Jan-2009.
Jigang W, Srikanthan T and Jiao T.
(2008). Algorithmic aspects for functional partitioning and scheduling in hardware/software co-design. Design Automation for Embedded Systems. 12:4. (345-375). Online publication date: 1-Dec-2008.
Pan Z and Wells B.
(2008). Hardware supported task scheduling on dynamically reconfigurable SoC architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 16:11. (1465-1474). Online publication date: 1-Nov-2008.
Lysecky R.
(2008). Scalability and parallel execution of warp processing. International Journal of Parallel Programming. 36:5. (478-492). Online publication date: 1-Oct-2008.
Wu J, Srikanthan T and Zou G.
(2008). New model and algorithm for hardware/software partitioning. Journal of Computer Science and Technology. 23:4. (644-651). Online publication date: 1-Jul-2008.
Mann Z, Orbán A and Arató P.
(2007). Finding optimal hardware/software partitions. Formal Methods in System Design. 31:3. (241-263). Online publication date: 1-Dec-2007.
Santambrogio M, Memik S, Rana V, Acar U and Sciuto D. A novel SoC design methodology combining adaptive software and reconfigurable hardware. Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design. (303-308).
Chakraverty S and Kumar A.
(2007). A rule-based availability-driven cosynthesis scheme. Design Automation for Embedded Systems. 11:2-3. (193-222). Online publication date: 1-Sep-2007.
Ha S, Kim S, Lee C, Yi Y, Kwon S and Joo Y.
(2008). PeaCE. ACM Transactions on Design Automation of Electronic Systems. 12:3. (1-25). Online publication date: 17-Aug-2007.
Mann Z, OrbáN A and Farkas V.
(2007). Evaluating the Kernighan-Lin Heuristic for Hardware/Software Partitioning. International Journal of Applied Mathematics and Computer Science. 17:2. (249-267). Online publication date: 1-Jun-2007.
Lysecky R. Low-power warp processor for power efficient high-performance embedded systems. Proceedings of the conference on Design, automation and test in Europe. (141-146).
Jigang W and Srikanthan T.
(2006). Algorithmic aspects of area-efficient hardware/software partitioning. The Journal of Supercomputing. 38:3. (223-235). Online publication date: 1-Dec-2006.
Sun F, Ravi S, Raghunathan A and Jha N.
(2006). A scalable synthesis methodology for application-specific processors. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 14:11. (1175-1188). Online publication date: 1-Nov-2006.
Mudry P, Zufferey G and Tempesti G. A dynamically constrained genetic algorithm for hardware-software partitioning. Proceedings of the 8th annual conference on Genetic and evolutionary computation. (769-776).
Lysecky R, Stitt G and Vahid F.
(2004). Warp Processors. ACM Transactions on Design Automation of Electronic Systems. 11:3. (659-681). Online publication date: 1-Jul-2006.
Cheun D, Yu T, Chang S and Kim S. A technical assessment of soc methodologies and requirements for a full-blown methodology. Proceedings of the 2006 international conference on Computational Science and Its Applications - Volume Part II. (451-461).
Wang G, Gong W and Kastner R.
(2006). Application partitioning on programmable platforms using the ant colony optimization. Journal of Embedded Computing. 2:1. (119-136). Online publication date: 1-Jan-2006.
Holzer M, Knerr B, Belanović P and Rupp M.
(2006). Efficient design methods for embedded communication systems. EURASIP Journal on Embedded Systems. 2006:1. (21-21). Online publication date: 1-Jan-2006.
Park J, Ryu M, Hong S and Lo Bello L.
(2006). Rapid performance re-engineering of distributed embedded systems via latency analysis and k-level diagonal search. Journal of Parallel and Distributed Computing. 66:1. (19-31). Online publication date: 1-Jan-2006.
Wu J, Srikanthan T and Yan C. Minimizing power in hardware/software partitioning. Proceedings of the 10th Asia-Pacific conference on Advances in Computer Systems Architecture. (580-588).
Shee S, Parameswaran S and Cheung N. Novel architecture for loop acceleration. Proceedings of the 3rd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (297-302).
Lysecky R and Vahid F. A Study of the Speedups and Competitiveness of FPGA Soft Processor Cores using Dynamic Hardware/Software Partitioning. Proceedings of the conference on Design, Automation and Test in Europe - Volume 1. (18-23).
Xiong Z, Chen J and Li S. Hardware/software partitioning for platform-based design method. Proceedings of the 2005 Asia and South Pacific Design Automation Conference. (691-696).
Belanovic P, Knerr B, Holzer M, Sauzon G and Rupp M.
(2005). A consistent design methodology for wireless embedded systems. EURASIP Journal on Advances in Signal Processing. 2005. (2598-2612). Online publication date: 1-Jan-2005.
Arató P, Mann Z and Orbán A.
(2005). Algorithmic aspects of hardware/software partitioning. ACM Transactions on Design Automation of Electronic Systems. 10:1. (136-156). Online publication date: 1-Jan-2005.
Xiong Z, Li S, Chen J and Zhang M. Use dynamic combination of two meta-heuristics to do bi-partitioning. Proceedings of the First international conference on Embedded Software and Systems. (216-221).
Banerjee S and Dutt N. Efficient search space exploration for HW-SW partitioning. Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis. (122-127).
Pop P, Eles P, Peng Z and Pop T.
(2004). Scheduling and mapping in an incremental design methodology for distributed real-time embedded systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 12:8. (793-811). Online publication date: 1-Aug-2004.
Liang J, Laffely A, Srinivasan S and Tessier R.
(2004). An architecture and compiler for scalable on-chip communication. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 12:7. (711-726). Online publication date: 1-Jul-2004.
Shannon L and Chow P. Using reconfigurability to achieve real-time profiling for hardware/software codesign. Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays. (190-199).
Lysecky R and Vahid F. A Configurable Logic Architecture for Dynamic Hardware/Software Partitioning. Proceedings of the conference on Design, automation and test in Europe - Volume 1.
Schmitz M, Al-Hashimi B and Eles P.
(2004). Iterative schedule optimization for voltage scalable distributed embedded systems. ACM Transactions on Embedded Computing Systems. 3:1. (182-217). Online publication date: 1-Feb-2004.
Muraoka M, Nishi H, Morizawa R, Yokota H and Hamada H. Design methodology for SoC arthitectures based on reusable virtual cores. Proceedings of the 2004 Asia and South Pacific Design Automation Conference. (256-262).
López-Vallejo M and López J.
(2003). On the hardware-software partitioning problem. ACM Transactions on Design Automation of Electronic Systems. 8:3. (269-297). Online publication date: 1-Jul-2003.
Voros N, Sánchez L, Alonso A, Birbas A, Birbas M and Jerraya A.
(2003). Hardware/Software Co-Design of Complex Embedded Systems. Design Automation for Embedded Systems. 8:1. (5-49). Online publication date: 1-Mar-2003.
Dziri M, Samet F, Wagner F, Cesário W and Jerraya A. Combining architecture exploration and a path to implementation to build a complete SoC design flow from system specification to RTL. Proceedings of the 2003 Asia and South Pacific Design Automation Conference. (219-224).
Wild T, Foag J, Pazos N and Brunnbauer W. Mapping and Scheduling for Architecture Exploration of Networking SoCs. Proceedings of the 16th International Conference on VLSI Design.
Stitt G and Vahid F. Hardware/software partitioning of software binaries. Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design. (164-170).
Noguera J and Badia R.
(2002). HW/SW codesign techniques for dynamically reconfigurable architectures. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10:4. (399-415). Online publication date: 1-Aug-2002.
Green P, Edwards M and Essa S.
(2002). HASoC--Towards a New Method for System-on-a-Chip Development. Design Automation for Embedded Systems. 6:4. (333-353). Online publication date: 1-Jul-2002.
Wiangtong T, Cheung P and Luk W.
(2002). Comparing Three Heuristic Search Methods for Functional Partitioning in Hardware–Software Codesign. Design Automation for Embedded Systems. 6:4. (425-449). Online publication date: 1-Jul-2002.
Schmitz M, Al-Hashimi B and Eles P.
(2002). Synthesizing Energy-Efficient Embedded Systems with LOPOCOS. Design Automation for Embedded Systems. 6:4. (401-424). Online publication date: 1-Jul-2002.
Ragan D, Sandborn P and Stoaks P. A detailed cost model for concurrent use with hardware/software co-design. Proceedings of the 39th annual Design Automation Conference. (269-274).
Chatha K and Vemuri R.
(2002). Hardware-Software partitioning and pipelined scheduling of transformative applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 10:3. (193-208). Online publication date: 1-Jun-2002.
Chakraverty S, Ravikumar C and Choudhuri D. An Evolutionary Scheme for Cosynthesis of Real-Time Systems. Proceedings of the 2002 Asia and South Pacific Design Automation Conference.
Weber S, Paul J and Thomas D.
(2001). Co-RAM. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 9:6. (805-812). Online publication date: 1-Dec-2001.
Bjuréus P and Jantsch A.
(2001). Modeling of mixed control and dataflow system in MASCOT. IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 9:5. (690-703). Online publication date: 1-Oct-2001.
Panda P, Semeria L and de Micheli G. Cache-efficient memory layout of aggregate data structures. Proceedings of the 14th international symposium on Systems synthesis. (101-106).
Pop P, Eles P, Pop T and Peng Z. An approach to incremental design of distributed embedded systems. Proceedings of the 38th annual Design Automation Conference. (450-455).
Vahid F and Gajski D. Incremental hardware estimation during hardware/software functional partitioning. Readings in hardware/software co-design. (516-521).
Balboni A, Fornaciari W and Sciuto D. Co-synthesis and co-simulation of control-dominated embedded systems. Readings in hardware/software co-design. (395-411).
Daveau J, Marchioro G, Ben-Ismail T and Jerraya A. Protocol selection and interface generation for HW-SW codesign. Readings in hardware/software co-design. (366-374).
Danckaert K, Catthoor F and De Man H. System level memory optimization for hardware-software co-design. Readings in hardware/software co-design. (278-282).
Noguera J and Badia R. A HW/SW partitioning algorithm for dynamically reconfigurable architectures. Proceedings of the conference on Design, automation and test in Europe.
Lahiri K, Raghunathan A and Dey S. Efficient exploration of the SoC communication architecture design space. Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design. (424-430).
Ho Y, Man K, Tang K and Kwong S.
(2000). A Codesign Approach to Real-time High Precision Control. Real-Time Systems. 19:1. (41-60). Online publication date: 7-Jul-2000.
Li Y, Callahan T, Darnell E, Harr R, Kurkure U and Stockwood J. Hardware-software co-design of embedded reconfigurable architectures. Proceedings of the 37th Annual Design Automation Conference. (507-512).
Meerwein M, Baumgartner C and Glauert W. Linking codesign and reuse in embedded systems design. Proceedings of the eighth international workshop on Hardware/software codesign. (93-97).
Lajolo M, Rebaudengo M, Roerda M, Violante M and Lavagno L. Evaluating system dependability in a co-design framework. Proceedings of the conference on Design, automation and test in Europe. (586-590).
López-Vallejo M, Grajal J and López J. Constraint-driven system partitioning. Proceedings of the conference on Design, automation and test in Europe. (411-416).
Verkest D, Kunkel J and Schirrmeister F. System level design using C++. Proceedings of the conference on Design, automation and test in Europe. (74-83).
Thiele L, Strehl K, Ziegenbein D, Ernst R and Teich J. FunState—an internal design representation for codesign. Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design. (558-565).
Hu X, Greenwood G, Ravichandran S and Quan G. A framework for user assisted design space exploration. Proceedings of the 36th annual ACM/IEEE Design Automation Conference. (414-419).
Hsiung P. Timing coverification of concurrent embedded real-time systems. Proceedings of the seventh international workshop on Hardware/software codesign. (110-114).
Wolff F, Knieser M, Weyer D and Papachristou C. Using codesign techniques to support analog functionality. Proceedings of the seventh international workshop on Hardware/software codesign. (79-84).
López-Vallejo M, López J and Iglesias C.
(1999). Hardware-Software Partitioning at the Knowledge Level. Applied Intelligence. 10:2-3. (173-184). Online publication date: 1-Mar-1999.
Vahid F. A three-step approach to the functional partitioning of large behavioral processes. Proceedings of the 11th international symposium on System synthesis. (152-157).
Chatha K and Vemuri R. A tool for partitioning and pipelined scheduling of hardware-software systems. Proceedings of the 11th international symposium on System synthesis. (145-151).
Hwang Y and Wang Y. Communication and interface synthesis on a rapid protoyping hardware/software codesign system. Proceedings of the 11th international symposium on System synthesis. (76-79).
Li Y and Wolf W. Hardware/software co-synthesis with memory hierarchies. Proceedings of the 1998 IEEE/ACM international conference on Computer-aided design. (430-436).
Yen T and Wolf W.
(1998). Performance Estimation for Real-Time Distributed Embedded Systems. IEEE Transactions on Parallel and Distributed Systems. 9:11. (1125-1136). Online publication date: 1-Nov-1998.
Barros E and dos Santos M.
(1998). A Safe, Accurate Intravenous Infusion Control System. IEEE Micro. 18:5. (12-21). Online publication date: 1-Sep-1998.
Fernández L, Koch G, Madrid N, Vallejo M, Kloos C and Rosenstiel W.
(1998). Hardware-Software Prototyping from LOTOS. Design Automation for Embedded Systems. 3:2-3. (117-148). Online publication date: 1-Jun-1998.
Gajski D, Vahid F, Narayan S and Gong J. System-level exploration with SpecSyn. Proceedings of the 35th annual Design Automation Conference. (812-817).
López M, Iglesias C and López J. A knowledge-based system for hardware-software partitioning. Proceedings of the conference on Design, automation and test in Europe. (914-915).
Allara A, Fornaciari W, Salice F and Sciuto D. A model for system-level timed analysis and profiling. Proceedings of the conference on Design, automation and test in Europe. (204-210).
Srinivasan V, Radhakrishnan S and Vemuri R. Hardware/software partitioning with integrated hardware design space exploration. Proceedings of the conference on Design, automation and test in Europe. (28-35).
Grode J, Knudsen P and Madsen J. Hardware resource allocation for hardware/software partitioning in the LYCOS system. Proceedings of the conference on Design, automation and test in Europe. (22-27).
Balboni A, Fornaciari W and Sciuto D.
(1998). Partitioning of Hardware-Software Embedded Systems: A Metrics-based Approach. Integrated Computer-Aided Engineering. 5:1. (39-56). Online publication date: 1-Jan-1998.
Leupers R and Marwedel P.
(1998). Retargetable Code Generation Based on Structural Processor Description. Design Automation for Embedded Systems. 3:1. (75-108). Online publication date: 1-Jan-1998.
Bakshi S and Gajski D. A scheduling and pipelining algorithm for hardware/software systems. Proceedings of the 10th international symposium on System synthesis. (113-118).
Peixoto H and Jacome M. Algorithm and architecture-level design space exploration using hierarchical data flows. Proceedings of the IEEE International Conference on Application-Specific Systems, Architectures and Processors.
Henkel J and Ernst R. A hardware/software partitioner using a dynamically determined granularity. Proceedings of the 34th annual Design Automation Conference. (691-696).
Liao S, Tjiang S and Gupta R. An efficient implementation of reactivity for modeling hardware in the scenic design environment. Proceedings of the 34th annual Design Automation Conference. (70-75).
Catania V, Malgeri M and Russo M.
(1997). Applying Fuzzy Logic to Codesign Partitioning. IEEE Micro. 17:3. (62-70). Online publication date: 1-May-1997.
Hu X and D'ambrosio J.
(1997). Hardware-Software Partitioning for Real-Time Embedded Systems. Design Automation for Embedded Systems. 2:3-4. (339-358). Online publication date: 1-May-1997.
Vercauteren S and Lin B.
(1997). Hardware/Software Communication and System Integration for Embedded Architectures. Design Automation for Embedded Systems. 2:3-4. (359-382). Online publication date: 1-May-1997.
Houston M, Chapman R and Moore L. An internet accessible remote controlled home automation system. Proceedings of the 35th annual ACM Southeast Conference. (82-84).
Danckaert K, Catthoor F and Hugo de Man . System level memory optimization for hardware-software co-design. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Vahid F. Modifying Min-Cut for Hardware and Software Functional Partitioning. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Hartenstein R and Becker J. Performance Analysis in CoDe-X Partitioning for Structural Programmable Accelerators. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Teich J, Blickle T and Thiele L. An evolutionary approach to system-level synthesis. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Axelsson J. Architecture Synthesis and Partitioning of Real-Time Systems. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Gogniat G, Auguin M and Belleudy C. A generic multi-unit architecture for codesign methodologies. Proceedings of the 5th International Workshop on Hardware/Software Co-Design.
Vahid F. I/O and performance tradeoffs with the FunctionBus during multi-FPGA partitioning. Proceedings of the 1997 ACM fifth international symposium on Field-programmable gate arrays. (27-34).
Saha D, Basu A and Mitra R. Hardware Software Partitioning Using Genetic Algorithm. Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications.
Hartenstein R and Becker J. Hardware/Software Co-Design for Data-Driven Xputer-based Accelerators. Proceedings of the Tenth International Conference on VLSI Design: VLSI in Multimedia Applications.
Shin Y and Choi K. Software synthesis through task decomposition by dependency analysis. Proceedings of the 1996 IEEE/ACM international conference on Computer-aided design. (98-104).
Eles P, Peng Z, Kuchcinski K and Doboli A.
(1997). System Level Hardware/Software Partitioning Based on Simulated Annealing and Tabu Search. Design Automation for Embedded Systems. 2:1. (5-32). Online publication date: 1-Jan-1997.
Wilberg J and Camposano R.
(1997). VLIW Processor Codesign for Video Processing. Design Automation for Embedded Systems. 2:1. (79-119). Online publication date: 1-Jan-1997.
Eles P, Peng Z, Kuchcinski K and Doboli A. Hardware/Software Partitioning with Iterative Improvement Heuristics. Proceedings of the 9th international symposium on System synthesis.
Eles P, Kuchcinski K, Peng Z and Doboli A. Hardware/software partitioning of VHDL system specifications. Proceedings of the conference on European design automation. (434-439).
Evans D, Green P and Morris D. An integrated approach to engineering computer systems. Proceedings of the conference on European design automation. (264-269).
Van Rompaey K, Bolsens I, De Man H and Verkest D. CoWare—a design environment for heterogenous hardware/software systems. Proceedings of the conference on European design automation. (252-257).
Imai M, Binh N and Shiomi A. A new HW/SW partitioning algorithm for synthesizing the highest performance pipelined ASIPs with multiple identical FUs. Proceedings of the conference on European design automation. (126-131).
Rousseau F, Berge J and Israel M. Hardware/Software Partitioning for Telecommunications Systems. Proceedings of the 20th Conference on Computer Software and Applications.
Wolf W.
(1996). Object-oriented cosynthesis of distributed embedded systems. ACM Transactions on Design Automation of Electronic Systems. 1:3. (301-314). Online publication date: 1-Jul-1996.
Calha M, Teixeira J and Teixeira I. HW/SW specification using OOM techniques. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).
Voigt Knudsen P and Madsen J. Aspects of system modelling in Hardware/Software partitioning. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).
Xiong X, Gutberiet P and Rosenstiel W. Automatic generation of interprocess communication in the PARAGON system. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).
Metafas D, Karathanasis H and Blionas S. Industrial approach in design methodologies for mobile communications systems. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).
Valderrama C, Nacabal F, Paulin P and Jerraya A. Automatic generation of interfaces for distributed C-VHDL cosimulation of embedded systems. Proceedings of the 7th IEEE International Workshop on Rapid System Prototyping (RSP '96).
Gupta R. Analysis of operation delay and execution rate constraints for embedded systems. Proceedings of the 33rd annual Design Automation Conference. (601-604).
Bình N, Imai M, Shiomi A and Hikichi N. A hardware/software partitioning algorithm for designing pipelined ASIPs with least gate counts. Proceedings of the 33rd annual Design Automation Conference. (527-532).
Vercauteren S, Lin B and De Man H. Constructing application-specific heterogeneous embedded architectures from custom HW/SW applications. Proceedings of the 33rd annual Design Automation Conference. (521-526).
Rose F, Carpenter T, Kumar S, Shackleton J and Honeywell T. A Model for the Coanalysis of Hardware and Software Architectures. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Henkel J and Ernst R. The Interplay of Run-Time Estimation and Granularity in HW/SW Partitioning. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Lin B, Vercauteren S and Imec H. Embedded Architecture Co-Synthesis and System Integration. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Vahid F and Le T. Towards a Model for Hardware and Software Functional Partitioning. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Balboni A, Fornaciari W and Sciuto D. Partitioning and Exploration Strategies in the TOSCA Co-Design Flow. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Hartenstein R, Becker J and Kress R. Two-level Partitioning of Image Processing Algorithms for the Parallel Map-oriented Machine. Proceedings of the 4th International Workshop on Hardware/Software Co-Design.
Karkowski I and Otten R. An Automatic Hardware-Software Partitioner Based on the Possibilistic Programming.. Proceedings of the 1996 European conference on Design and Test.
Ikeda M, Okubo T, Abe T, Ito Y, Tashiro Y and Kasai R. A Hardware/Software Concurrent Design for a Real-Time SP@ML MPEG2 Video-Encoder Chip Set. Proceedings of the 1996 European conference on Design and Test.
Potkonjak M and Wolf W. Cost optimization in ASIC implementation of periodic hard-real time systems using behavioral synthesis techniques. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (446-451).
Yen T and Wolf W. Communication synthesis for distributed embedded systems. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (288-294).
Chou P, Ortega R and Borriello G. Interface co-synthesis techniques for embedded systems. Proceedings of the 1995 IEEE/ACM international conference on Computer-aided design. (280-287).
Shirai K and Hiwatashi J. A design system for special purpose processors based on architectures for distributed processing. Proceedings of the conference on European design automation. (380-385).
Toporkov V. Performance-complexity analysis in hardware-software codesign for real-time systems. Proceedings of the conference on European design automation. (340-345).
Vahid F and Gajski D. Closeness metrics for system-level functional partitioning. Proceedings of the conference on European design automation. (328-333).
Soininen J, Huttunen T, Tiensyrjä K and Heusala H. Cosimulation of real-time control systems. Proceedings of the conference on European design automation. (170-175).
Benner T, Ernst R and Österling A. Scalable performance scheduling for hardware-software cosynthesis. Proceedings of the conference on European design automation. (164-169).
Plöger P, Wilberg J, Langevin M and Composano R. WWW based structuring of codesigns. Proceedings of the 8th international symposium on System synthesis. (138-143).
Henkel J and Ernst R. A path-based technique for estimating hardware runtime in HW/SW-cosynthesis. Proceedings of the 8th international symposium on System synthesis. (116-121).
Vahid F and Gajski D. Clustering for improved system-level functional partitioning. Proceedings of the 8th international symposium on System synthesis. (28-35).
Chou P, Ortega R and Borriello G. The Chinook hardware/software co-synthesis system. Proceedings of the 8th international symposium on System synthesis. (22-27).
Yen T and Wolf W. Sensitivity-driven co-synthesis of distributed embedded systems. Proceedings of the 8th international symposium on System synthesis. (4-9).
Holtmann U and Ernst R. Combining MBP-speculative computation and loop pipelining in high-level synthesis. Proceedings of the 1995 European conference on Design and Test.
Goossens G, Bolsens I, Lin B and Catthoor F. Design of heterogeneous ICs for mobile and personal communication systems. Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design. (524-531).
Henkel J, Ernst R, Holtmann U and Benner T. Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis. Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design. (96-100).
Sciuto D, Antoniazzi S, Balboni A and Fornaciari W. The role of VHDL within the TOSCA hardware/software codesign framework. Proceedings of the conference on European design automation. (612-617).
Coelho C, Yang C, Mooney V and De Micheli G. Redesigning hardware-software systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (116-123).
Auguin M, Boeri F and Carriere C. Automatic exploration of VLIW processor architectures from a designer's experience based specification. Proceedings of the 3rd international workshop on Hardware/software co-design. (108-115).
Herrmann D, Henkel J and Ernst R. An approach to the adaptation of estimated cost parameters in the COSYMA system. Proceedings of the 3rd international workshop on Hardware/software co-design. (100-107).
Wilberg J, Camposano R and Rosenstiel W. Design flow for hardware/software cosynthesis of a video compression system. Proceedings of the 3rd international workshop on Hardware/software co-design. (73-80).
Gupta R and De Micheli G. Constrained software generation for hardware-software systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (56-63).
Eles P, Peng Z and Doboli A. VHDL system-level specification and partitioning in a hardware/software co-synthesis environment. Proceedings of the 3rd international workshop on Hardware/software co-design. (49-55).
D'Ambrosio J and Hu X. Configuration-level hardware/software partitioning for real-time embedded systems. Proceedings of the 3rd international workshop on Hardware/software co-design. (34-41).
Koch G, Kebschull U and Rosenstiel W. A prototyping environment for hardware/software codesign in the COBRA project. Proceedings of the 3rd international workshop on Hardware/software co-design. (10-16).
Antoniazzi S, Balboni A, Fornaciari W and Sciuto D. A methodology for control-dominated systems codesign. Proceedings of the 3rd international workshop on Hardware/software co-design. (2-9).
Olukotun K, Helaihel R, Levitt J and Ramirez R.
(1994). A Software-Hardware Cosynthesis Approach to Digital System Simulation. IEEE Micro. 14:4. (48-58). Online publication date: 1-Aug-1994.