Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/996070.1009938acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Communication-Aware Task Scheduling and Voltage Selection for Total Systems Energy Minimization

Published: 09 November 2003 Publication History

Abstract

In this paper, we present an interprocessor communication-aware task scheduling algorithm applicable to a multiprocessor system executing an application with dependent tasks. Our algorithm takes the application task graph and the architecture graph as inputs,assigns the tasks to processors and then schedules them. As main theoreticalcontribution, the algorithm we propose reduces the overallsystems energy by (i) reducing the total interprocessor communicationand (ii) executing certain cycles at a lower voltage level. Experimentalresults show that by tuning the parameter for communicationawareness, a schedule using our algorithm can reduce upto 80%interprocessor communication in a complex video/audio application(compared to a schedule which is only voltage-selection aware) withoutlosing much in the number of cycles executed at lower voltage.

References

[1]
{1} J. Liu et al, "Communication Speed Selection for Embedded Systems with Networked Voltage-Scalable Processors," Proc. CODES 2002, USA.
[2]
{2} W. Dally, B. Towles, "Route Packets, Not Wires: On-chip Interconnection Networks," Proc. DAC, Las Vegas, NV, June 2001.
[3]
{3} A. Chandrakasan, R. Broderson, "Low Power Digital CMOS Design," Kluwer Academic Publishers, 1995.
[4]
{4} L. Benini et al, "A Survey of Design Techniques for System-level Dynamic Power Management," IEEE Trans. on VLSI Systems, June 2000.
[5]
{5} C. M. Krishna, K. G. Shin, "Real-time Systems," WCB/McGraw-Hill, 1997.
[6]
{6} N. Namgoong, M. Yu, and T. Meng, "A High-efficiency Variable-voltage CMOS Dynamic DC-DC Switching Regulator," Proc. ISSCC, 1997.
[7]
{7} J. Luo, N. Jha, "Power-conscious Joint Scheduling of Periodic Task graphs and Aperiodic Tasks in Distributed Real-time Embedded Systems," Proc. ICCAD, San Jose, CA, Nov. 2000.
[8]
{8} Y. Zhang, X. Hu, and D. Chen, "Task Scheduling and Voltage Selection for Energy Minimization," Proc. DAC, New Orleans, LA, June 2002.
[9]
{9} http://www-3.ibm.com/software/data/bi/osl/features/lp-sol.html
[10]
{10} http://helsinki.ee.Princeton.Edu/dickrp/tgff
[11]
{11} J.-M. Chang, M. Pedram, "Codex-dp: Co-design of Communicating Systems Using Dynamic Programming," IEEE Trans. on CAD, July 2000.
[12]
{12} F. Gruian, K. Kuchcinsky, "LEneS: task scheduling for low-energy systems using variable supply voltage processors," Proc. ASP-DAC, 2001.
[13]
{13} H. El-Rewini et al, "Task Scheduling in Multiprocessor Systems," IEEE Computer, Dec. 1995.
[14]
{14} J. Luo, N. K. Jha, "Battery-aware static scheduling for distributed real-time embedded systems," Proc. DAC, Las Vegas, NV, June 2001.
[15]
{15} B. C. Mochocki, X. Hu, "A Realistic Variable Voltage Scheduling Model for Real-Time Applications," Proc. ICCAD, San Jose, CA, Nov. 2002.
[16]
{16} C. J. Hou et al, "Allocation of periodic task modules with precedence and deadline constraints in distributed real-time systems," IEEE Trans. on Computers, Dec. 1997.
[17]
{17} P. D. Hong et al, "Scheduling of DSP programs onto multiprocessors for maximum throughput," IEEE Trans. on Signal Processing, June 1993.
[18]
{18} J. M. Chang, M. Pedram, "Energy minimization using multiple supply voltages," IEEE Trans. on VLSI Systems, Dec. 1997.
[19]
{19} http://www.arm.com/armtech/ARM11
[20]
{20} http://www.transmeta.com/technology/specifications/index.html
[21]
{21} A. Jantsch and H. Tenhunen (Eds.), "Networks on Chip," Kluwer Academic Publishers, 2003.

Cited By

View all

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '03: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design
November 2003
899 pages
ISBN:1581137621

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 09 November 2003

Check for updates

Author Tags

  1. dynamic voltage scaling
  2. low-power scheduling

Qualifiers

  • Article

Conference

ICCAD03
Sponsor:

Acceptance Rates

ICCAD '03 Paper Acceptance Rate 129 of 490 submissions, 26%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 30 Aug 2024

Other Metrics

Citations

Cited By

View all
  • (2017)Energy-aware scheduling on heterogeneous multi-core systems with guaranteed probabilityJournal of Parallel and Distributed Computing10.1016/j.jpdc.2016.11.014103:C(64-76)Online publication date: 1-May-2017
  • (2016)AEQUITASProceedings of the 2016 International Conference on Supercomputing10.1145/2925426.2926260(1-12)Online publication date: 1-Jun-2016
  • (2013)Framework for simulation of heterogeneous MpSoC for design space explorationVLSI Design10.1155/2013/9361812013(11-11)Online publication date: 1-Jan-2013
  • (2012)Networks on chips: structure and design methodologiesJournal of Electrical and Computer Engineering10.1155/2012/5094652012(2-2)Online publication date: 1-Jan-2012
  • (2011)Simultaneous variation-aware architecture exploration and task scheduling for MPSoC energy minimizationProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973063(271-276)Online publication date: 2-May-2011
  • (2011)Overhead-aware energy optimization for real-time streaming applications on multiprocessor System-on-ChipACM Transactions on Design Automation of Electronic Systems10.1145/1929943.192994616:2(1-32)Online publication date: 7-Apr-2011
  • (2010)Memory access aware on-line voltage control for performance and energy optimizationProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133507(365-372)Online publication date: 7-Nov-2010
  • (2009)Energy-Aware Scheduling of Flow Applications on Master-Worker PlatformsProceedings of the 15th International Euro-Par Conference on Parallel Processing10.1007/978-3-642-03869-3_28(281-292)Online publication date: 23-Aug-2009
  • (2008)Automated techniques for energy efficient scheduling on homogeneous and heterogeneous chip multi-processor architecturesProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356817(61-66)Online publication date: 21-Jan-2008
  • (2007)Trade-offs between voltage scaling and processor shutdown for low-energy embedded multiprocessorsProceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation10.5555/1776200.1776213(75-85)Online publication date: 16-Jul-2007
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media