Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/DATE.2005.22acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
Article

A Network Traffic Generator Model for Fast Network-on-Chip Simulation

Published: 07 March 2005 Publication History

Abstract

For Systems-on-Chip (SoCs) development, a predominant part of the design time is the simulation time. Performance evaluation and design space exploration of such systems in bit- and cycle-true fashion is becoming prohibitive. We propose a traffic generation (TG) model that provides a fast and effective Network-on-Chip (NoC) development and debugging environment. By capturing the type and the timestamp of communication events at the boundary of an IP core in a reference environment, the TG can subsequently emulate the core's communication behavior in different environments. Access patterns and resource contention in a system are dependent on the interconnect architecture, and our TG is designed to capture the resulting reactiveness. The regenerated traffic, which represents a realistic workload, can thus be used to undertake faster architectural exploration of interconnection alternatives, effectively decoupling simulation of IP cores and of interconnect fabrics. The results with the TG on an AMBA interconnect show a simulation time speedup above a factor of 2 over a complete system simulation, with close to 100% accuracy.

References

[1]
{1} Open Core Protocol Specification, Release 2.0 http://www.ocpip.org, 2003.
[2]
{2} E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny. QNoC: QoS architecture and design process for network on chip. In Journal of Systems Architecture. Elsevier, 2004.
[3]
{3} M. Dall'Osso, G. Biccari, L. Giovannini, D. Bertozzi, and L. Benini. xpipes: A latency insensitive parameterized Network-on-Chip architecture for multi-processor SoCs. In Proceedings of 21st International Conference on Computer Design, pages 536-539. IEEE Computer Society, 2003.
[4]
{4} F. Fummi, P. Gallo, S. Martini, G. Perbellini, M. Poncino, and F. Ricciato. A timing-accurate modeling and simulation environment for networked embedded systems. In Proceedings of the 42th Design Automation Conference, pages 42-47, 2003.
[5]
{5} T. Grötker, S. Liao, G. Martin, and S. Swan. System Design with SystemC. Kluwer Academic Publishers, 2002.
[6]
{6} K. Lahiri, A. Raghunathan, and S. Dey. Evaluation of the traffi c-performance characteristics of System-on-Chip communication architectures. In Proceedings of the 14th International Conference on VLSI Design, pages 29-35, 2001.
[7]
{7} K. Lahiri, A. Raghunathan, G. Lakshminarayana, and S. Dey. Communication architecture tuners: A methodology for the design of high-performance communication architectures for System-on-Chips. In Proceedings of the 2000 Design Automation Conference, DAC'00, pages 513-518, 2000.
[8]
{8} M. Loghi, F. Angiolini, D. Bertozzi, L. Benini, and R. Zafalon. Analyzing on-chip communication in a MPSoC environment. In Proceedings of the 2004 Design, Automation and Test in Europe Conference (DATE'04). IEEE, 2004.
[9]
{9} O. Ogawa, S. B. de Noyer, P. Chauvet, K. Shinohara, Y. Watanabe, H. Niizuma, T. Sasaki, and Y. Takai. A practical approach for bus architecture optimization at transaction level. In Proceedings of Design, Automation and Testing in Europe Conference 2004 (DATE03). IEEE, March 2003.
[10]
{10} S. Pasricha, N. Dutt, and M. Ben-Romdhane. Extending the transaction level modeling approach for fast communication architecture exploration. In Proceedings of 38th Design Automation Conference (DAC'04), pages 113-118. ACM, 2004.
[11]
{11} M. Sgroi, M. Sheets, A. Mihal, K. Keutzer, S. Malik, J. Rabaey, and A. Sangiovanni-Vincentelli. Addressing the System-on-Chip interconnect woes through communication-based design. In Proceedings of the 38th Design Automation Conference (DAC'01), pages 667-672, June 2001.

Cited By

View all
  • (2016)Performance Evaluation of NoC-Based Multicore SystemsACM Transactions on Design Automation of Electronic Systems10.1145/287063321:3(1-38)Online publication date: 11-May-2016
  • (2011)NoC simulation modeling in DEVS-suiteProceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium10.5555/2048476.2048493(134-139)Online publication date: 3-Apr-2011
  • (2010)NetraceProceedings of the Third International Workshop on Network on Chip Architectures10.1145/1921249.1921258(31-36)Online publication date: 4-Dec-2010
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '05: Proceedings of the conference on Design, Automation and Test in Europe - Volume 2
March 2005
630 pages
ISBN:0769522882

Sponsors

Publisher

IEEE Computer Society

United States

Publication History

Published: 07 March 2005

Check for updates

Qualifiers

  • Article

Conference

DATE05
Sponsor:

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 04 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Performance Evaluation of NoC-Based Multicore SystemsACM Transactions on Design Automation of Electronic Systems10.1145/287063321:3(1-38)Online publication date: 11-May-2016
  • (2011)NoC simulation modeling in DEVS-suiteProceedings of the 2011 Symposium on Theory of Modeling & Simulation: DEVS Integrative M&S Symposium10.5555/2048476.2048493(134-139)Online publication date: 3-Apr-2011
  • (2010)NetraceProceedings of the Third International Workshop on Network on Chip Architectures10.1145/1921249.1921258(31-36)Online publication date: 4-Dec-2010
  • (2010)Comprehensive on-chip traffic generator model for SoC design and synthesisProceedings of the 2010 Spring Simulation Multiconference10.1145/1878537.1878660(1-7)Online publication date: 11-Apr-2010
  • (2010)A modeling tool for simulating and design of on-chip network systemsMicroprocessors & Microsystems10.1016/j.micpro.2010.01.00134:2-4(84-95)Online publication date: 1-Mar-2010
  • (2009)Design of an on-line configurable traffic generator for NoCProceedings of the 3rd international conference on Anti-Counterfeiting, security, and identification in communication10.5555/1719110.1719242(556-559)Online publication date: 20-Aug-2009
  • (2009)Capturing topology-level implications of link synthesis techniques for nanoscale networks-on-chipProceedings of the 19th ACM Great Lakes symposium on VLSI10.1145/1531542.1531574(125-128)Online publication date: 10-May-2009
  • (2007)Towards multi-application workload modeling in sesame for system-level design space explorationProceedings of the 7th international conference on Embedded computer systems: architectures, modeling, and simulation10.5555/1776200.1776231(222-232)Online publication date: 16-Jul-2007
  • (2006)Combining simulation and formal methods for system-level performance analysisProceedings of the conference on Design, automation and test in Europe: Proceedings10.5555/1131481.1131547(236-241)Online publication date: 6-Mar-2006
  • (2006)Flexible MPSoC platform with fast interconnect exploration for optimal system performance for a specific applicationProceedings of the conference on Design, automation and test in Europe: Designers' forum10.5555/1131355.1131390(166-171)Online publication date: 6-Mar-2006
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media