Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/775832.775911acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Automatic communication refinement for system level design

Published: 02 June 2003 Publication History

Abstract

This paper presents a methodology and algorithms for automatic communication refinement. The communication refinement task in system-level synthesis transforms abstract data-transfer between components to its actual bus level implementation. The input model of the communication refinement is a set of concurrently executing components, communicating with each other through abstract communication channels. The refined model reflects the actual communication architecture. Choosing a good communication architecture in system level designs requires sufficient exploration through evaluation of various architectures. However, this would not be possible with manually refining the system model for each communication architecture. For one, manual refinement is tedious and error-prone. Secondly, it wastes substantial amount of precious designer time. We solve this problem with automatic model refinement. We also present a set of experimental results to demonstrate how the proposed approach works on a typical system level design.

References

[1]
CoWare N2C. Available: http://www.coware.com/cowareN2C.html.
[2]
SystemC, OSCI{online}. Available: http://www.systemc.org/.
[3]
S. Abdi, J. Peng, R. Doemer, D. Shin, and et. al. SCE Environment - Tutorial. Technical Report ICS-TR-02-28, University of California, Irvine, September 2002.
[4]
L. Gauthier, S. Yoo, and A. Jerraya. Automatic generation of application specific architectures for heterogeneous multiprocessor system-on-chip. In Proceedings of the Design Automation Conference, pages 518--523, June 2001.
[5]
A. Gerstlauer, R. Domer, J. Peng, and D. Gajski. System Design: A Practical Guide with SpecC. Kluwer Academic Publishers, May 2001.
[6]
F. Gharsalli, D. Lyonnard, S. Meftali, F. Rousseau, and A. A. Jerraya. Unifying memory and processor wrapper architecture in multiprocessor soc design. In Proceedings of the International Symposium on System Synthesis, Oct 2002.
[7]
G. Gogniat, M. Auguin, L. Bianco, and A. Pegatoquet. Communication synthesis and hw/sw integration for embedded system design. In Proceedings of the International Workshop on Hardware-Software Codesign, pages 35--98, March 1998.
[8]
Passerone, J. A. Rowson, and A. Sangiovanni-Vincentelli. Automatic synthesis of interfaces between incompatible protocols. In Proceedings of the International Conference on Computer-Aided Design, pages 437--444, November 1998.
[9]
J. A. Rowson and A. Sangiovanni-Vincentelli. Interface based design. In Proceedings of the Design Automation Conference, pages 178--183, June 1997.
[10]
F. Vahid and L. Tauro. An object-oriented communication library for hardware/software codesign. In Proceedings of the International Workshop on Hardware-Software Codesign, pages 81--86, March 1997.

Cited By

View all
  • (2012)Generating Process Network Communication Infrastructure for Custom Multi-Core PlatformsInnovations in Embedded and Real-Time Systems Engineering for Communication10.4018/978-1-4666-0912-9.ch013(241-261)Online publication date: 2012
  • (2010)Generating Process Network Communication Infrastructure for Custom Multi-Core PlatformsInternational Journal of Embedded and Real-Time Communication Systems10.4018/jertcs.20101030031:1(37-63)Online publication date: 1-Jan-2010
  • (2010)Towards a synthesis semantics for systemC channelsProceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis10.1145/1878961.1878990(163-172)Online publication date: 24-Oct-2010
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '03: Proceedings of the 40th annual Design Automation Conference
June 2003
1014 pages
ISBN:1581136889
DOI:10.1145/775832
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 02 June 2003

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. communication
  2. model refinement
  3. system bus
  4. system level design
  5. system modeling

Qualifiers

  • Article

Conference

DAC03
Sponsor:

Acceptance Rates

DAC '03 Paper Acceptance Rate 152 of 628 submissions, 24%;
Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 06 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2012)Generating Process Network Communication Infrastructure for Custom Multi-Core PlatformsInnovations in Embedded and Real-Time Systems Engineering for Communication10.4018/978-1-4666-0912-9.ch013(241-261)Online publication date: 2012
  • (2010)Generating Process Network Communication Infrastructure for Custom Multi-Core PlatformsInternational Journal of Embedded and Real-Time Communication Systems10.4018/jertcs.20101030031:1(37-63)Online publication date: 1-Jan-2010
  • (2010)Towards a synthesis semantics for systemC channelsProceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis10.1145/1878961.1878990(163-172)Online publication date: 24-Oct-2010
  • (2008)Rapid-prototyping emulation system co-emulation modelling interface for systemC real-time emulationProceedings of the 12th WSEAS international conference on Systems10.5555/1580134.1580298(691-697)Online publication date: 22-Jul-2008
  • (2008)The Artemis workbench for system-level performance evaluation of embedded systemsInternational Journal of Embedded Systems10.1504/IJES.2008.0202993:3(181)Online publication date: 2008
  • (2008)Automation of Communication Refinement and Hardware Synthesis within a System-Level Design Methodology2008 The 19th IEEE/IFIP International Symposium on Rapid System Prototyping10.1109/RSP.2008.17(75-81)Online publication date: Jun-2008
  • (2006)TRAINProceedings of the conference on Design, automation and test in Europe: Proceedings10.5555/1131481.1131843(1318-1323)Online publication date: 6-Mar-2006
  • (2006)A Systematic Approach to Exploring Embedded System Architectures at Multiple Abstraction LevelsIEEE Transactions on Computers10.1109/TC.2006.1655:2(99-112)Online publication date: 1-Feb-2006
  • (2006)Automated selection of embedded functions for SoC models based on IPs libraryIFAC Proceedings Volumes10.1016/S1474-6670(17)30217-339:21(389-394)Online publication date: Feb-2006
  • (2005)System-level communication modeling for network-on-chip synthesisProceedings of the 2005 Asia and South Pacific Design Automation Conference10.1145/1120725.1120740(45-48)Online publication date: 18-Jan-2005
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media