Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/159754.161739acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article
Free access

Flexible controlpath microarchitecture synthesis based on artificial intelligence

Published: 01 November 1992 Publication History
First page of PDF

References

[1]
Thomas, D.E., et.al., Algorithmic and Register-Transfer level Synthesis: The System's Architect's Workbench., Kluwer Academic Publ., Boston, 1990.
[2]
Brewer, E and Gajski, D., Chippe: A System for Constraint Driven Behavioural Synthesis., IEEE Trans. Comp. Aided Design, vol. 9, (1990) pp. 681-694.
[3]
McFarland, M.C. and Kowalski, T.J., Incortx)rating Bootom-Up Design into Hardware Synthesis., IEEE Trans. Comp. Aided Design, vol. 9, (1990) pp. 938-949.
[4]
Paulin, P.G., Knight, J.P. and Girczyc, Eat:., HAL: A multi-paradigm approach to automatic data path synthesis., In Proceedings, 23rd Design Automation Conference, ACM/IEEE, June 1986, pp. 263-270.
[5]
Paulin, P.G., Horizontal Partitioning of PLA-based Finite State Machines, Proc. of the 26th ACM/IEEE Design Automation Conference, 1989, pp. 333-338.
[6]
Tarroux, G. et. al., Optimization of Micro-Controllers by Partitioning, Proc. EDAC 1991, Amsterdam, Feb. 1991, pp. 368-373.
[7]
Gessner, J. et. al., Synthesis of Control Units in a Design Environment for Chip Architecture. Microprocessing and Microprogramming 27 (1989) pp. 465-472.
[8]
ten Berg, A.J.W.M., Stepwise Decomposition in Controlpath Synthesis, Proceedings Euromicro 90, Publ. in Microproc. and Microprog. Vol. 30. Amsterdam, 1990, pp. 117-124.
[9]
Brayton, R.K., Algorithms for multi-level logic synthesis and optimization, in: DeMicheli, G. and Sangiovarmi-Vincentelli, A. (Eds.), Design Systems for VLSI circuits (Nyhoff, 1987) pp. 197-248.
[10]
Brayton, R.K., et. al., Logic Minimization Algorithms for VLSI Synthesis, Kluwer Academic Publ., 1984.
[11]
De Micheli, G., Synchronous Logic Synthesis: Algorithms for Cycle-Time Minimization., IEEE Trans. Comp. Aided Design, vol. 10, (1991) pp. 63-73.
[12]
Devadas, S. and Newton, R., Exact Algorithms for Output Encoding, State Assignment, and Four-Level Boolean Minimization, IEEE Trans. on CAD. Vol.10. No.1. January 1991, pp. 13-27.
[13]
Villa, T. and Sangiovanni-Vincentelli A., NOVA: State Assignment of Finite State Machines for Optimal Two-Level Logic Implementation, IEEE Trans. on CAD, vol. 9 no. 9. sept 1990, pp. 905-924.
[14]
Vander Zanden, N. and Gajski D., MILO : A Microarchitecture and Logic Optimizer, in Proceedings of' the 25th Design Automation Conf., ACM/IEEE, 1988 pp. 403-408.
[15]
Amarm, R. and Baitinger, U.G., Optimal State Chains and State Codes in Finite State Machines, IEEE Trans. on Comp. aided design, vol. 8, Februari 1989, pp. 153-170.
[16]
Henessy J., Partitioning Progranunable Logic Arrays Summary, IEEE Proc. Int. Conf. on Computer Aided design, 1983, pp. 180-181,
[17]
DeMicheli, G. and Santomauro, M., Smile : A Computer program for partitioning of programmed logic arrays, Computer Aided Design, vol. 15. no. 2. March 1983, pp. 89-97.
[18]
Berg ten, A.J.W.M, Floorplan Optimized Topological Partitioning of Programmed Logic Arrays, Proceedings of' the WG 10.5 IFIP workshop on "Synthesis Generation and Portability of Library blocks for ASIC design". Grenoble, March 1992.
[19]
Otten, R., Automatic Floorplan Design, in Proceedings of the 19th Design Automation Conf., ACMflEEE, 1982 pp. 261-267.
[20]
Stockmeyer, L., "Optimal Orientations of Cells in Slicing Floorplan Designs", Information and Control 57, June 1983, pp. 91-101.
[21]
Pearl, J., Heuristics: intelligent search strategies for computer problem solving. Addison Wesley, Reading, 1984.
[22]
Stritter, S. and Tredennick, N., Microprogrammed Implememation of a Single Chip Microprocessor, Proceedings of the l lth Annual Microprogramming Workshop., (1978), pp. 8-16.
[23]
Berg ten, A.J.W.M., Curve-fitting of State-Assignment minimization for PI.A's, Memoranda Inform atica INF-91-44, Twente Unviversity, Enschede, 1991.
[24]
Solo 2000 Family Libraries, European Silican Structures Limited, 1990.
[25]
Li~anke, R., Introduction of gynthe~i~ benchmark~, Int. Workshop on Logic Synthesis, North carolina, 1989.

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
EURO-DAC '92: Proceedings of the conference on European design automation
November 1992
765 pages
ISBN:0818627808

Sponsors

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 01 November 1992

Check for updates

Qualifiers

  • Article

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 117
    Total Downloads
  • Downloads (Last 12 months)10
  • Downloads (Last 6 weeks)4
Reflects downloads up to 02 Sep 2024

Other Metrics

Citations

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media