Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Skip header Section
Logical effort: designing fast CMOS circuitsJanuary 1999
Publisher:
  • Morgan Kaufmann Publishers Inc.
  • 340 Pine Street, Sixth Floor
  • San Francisco
  • CA
  • United States
ISBN:978-1-55860-557-2
Published:01 January 1999
Pages:
240
Skip Bibliometrics Section
Reflects downloads up to 04 Oct 2024Bibliometrics
Abstract

No abstract available.

Cited By

  1. Acharya L, Kumar Sharma A, Mishra N, Singh K, Dargupally M, Shabarish N, Mandal A, Ramakrishnan V, Dasgupta S and Bulusu A (2023). Aging-Aware Timing Model of CMOS Inverter: Path Level Timing Performance and Its Impact on the Logical Effort, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:8, (2657-2663), Online publication date: 1-Aug-2023.
  2. Tavakolaee H, Ardeshir G and Baleghi Y (2023). Design and analysis of a novel fast adder using logical effort method, IET Computers & Digital Techniques, 17:3-4, (195-208), Online publication date: 27-Jul-2023.
  3. Muthamizh Vithagan K, Sundaresha V and Viraraghavan J (2023). Geometric Programming Approach to Glitch Minimization via Gate Sizing, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 42:6, (1988-2001), Online publication date: 1-Jun-2023.
  4. Schneider E and Wunderlich H GPU-accelerated time simulation of systems with adaptive voltage and frequency scaling Proceedings of the 23rd Conference on Design, Automation and Test in Europe, (879-884)
  5. basireddy h, challa K and Nikoubin T (2019). Hybrid Logical Effort for Hybrid Logic Style Full Adders in Multistage Structures, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27:5, (1138-1147), Online publication date: 1-May-2019.
  6. Dutt S, Dash S, Nandi S and Trivedi G (2019). Analysis, Modeling and Optimization of Equal Segment Based Approximate Adders, IEEE Transactions on Computers, 68:3, (314-330), Online publication date: 1-Mar-2019.
  7. Vaz P, Both T, Vidor F, Brum R and Wirth G (2018). Design Flow Methodology for Radiation Hardened by Design CMOS Enclosed-Layout-Transistor-Based Standard-Cell Library, Journal of Electronic Testing: Theory and Applications, 34:6, (735-747), Online publication date: 1-Dec-2018.
  8. ACM
    Cui X, Koopahi E, Wu K and Karri R (2018). Hardware Trojan Detection Using the Order of Path Delay, ACM Journal on Emerging Technologies in Computing Systems, 14:3, (1-23), Online publication date: 31-Jul-2018.
  9. ACM
    Dutt S, Nandi S and Trivedi G (2017). Analysis and Design of Adders for Approximate Computing, ACM Transactions on Embedded Computing Systems, 17:2, (1-28), Online publication date: 31-Mar-2018.
  10. Rezaei H, Aghli Moghaddam S and Rahmati A (2018). High-performance dynamic elastic pipelines, Microprocessors & Microsystems, 56:C, (113-120), Online publication date: 1-Feb-2018.
  11. Singh K, Jain A, Mittal A, Yadav V, Singh A, Jain A and Gupta M (2018). Optimum transistor sizing of CMOS logic circuits using logical effort theory and evolutionary algorithms, Integration, the VLSI Journal, 60:C, (25-38), Online publication date: 1-Jan-2018.
  12. Tsai C, Cheng C, Huang N and Wu K Analysis and optimization of variable-latency designs in the presence of timing variability Proceedings of the Conference on Design, Automation & Test in Europe, (1219-1224)
  13. Raitza M, Kumar A, Völp M, Walter D, Trommer J, Mikolajick T and Weber W Exploiting transistor-level reconfiguration to optimize combinational circuits Proceedings of the Conference on Design, Automation & Test in Europe, (338-343)
  14. ACM
    Flach G, Fogaça M, Monteiro J, Johann M and Reis R Rsyn Proceedings of the 2017 ACM on International Symposium on Physical Design, (33-40)
  15. Miyake Y, Sato Y, Kajihara S and Miura Y (2016). Temperature and Voltage Measurement for Field Test Using an Aging-Tolerant Monitor, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:11, (3282-3295), Online publication date: 1-Nov-2016.
  16. ACM
    Teman A, Rossi D, Meinerzhagen P, Benini L and Burg A (2016). Power, Area, and Performance Optimization of Standard Cell Memory Arrays Through Controlled Placement, ACM Transactions on Design Automation of Electronic Systems, 21:4, (1-25), Online publication date: 22-Sep-2016.
  17. Ryu K, Jung J, Jung D, Kim J and Jung S (2016). High-Speed, Low-Power, and Highly Reliable Frequency Multiplier for DLL-Based Clock Generator, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:4, (1484-1492), Online publication date: 1-Apr-2016.
  18. Trommer J, Heinzig A, Baldauf T, Mikolajick T, Weber W, Raitza M and Völp M Reconfigurable nanowire transistors with multiple independent gates for efficient and programmable combinational circuits Proceedings of the 2016 Conference on Design, Automation & Test in Europe, (169-174)
  19. Possani V, Callegaro V, Reis A, Ribas R, de Souza Marques F and da Rosa L (2016). Graph-Based Transistor Network Generation Method for Supergate Design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24:2, (692-705), Online publication date: 1-Feb-2016.
  20. Ming-Zhong Li , Chio-In Ieong , Man-Kay Law , Pui-In Mak , Mang I Vai , Sio-Hang Pun and Martins R (2015). Energy Optimized Subthreshold VLSI Logic Family With Unbalanced Pull-Up/Down Network and Inverse Narrow-Width Techniques, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:12, (3119-3123), Online publication date: 1-Dec-2015.
  21. Hanwool Jeong , Taewon Kim , Taejoong Song , Gyuhong Kim and Seong-Ook Jung (2015). Trip-Point Bit-Line Precharge Sensing Scheme for Single-Ended SRAM, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:7, (1370-1374), Online publication date: 1-Jul-2015.
  22. Trommer J, Heinzig A, Baldauf T, Slesazeck S, Mikolajick T and Weber W (2015). Functionality-Enhanced Logic Gate Design Enabled by Symmetrical Reconfigurable Silicon Nanowire Transistors, IEEE Transactions on Nanotechnology, 14:4, (689-698), Online publication date: 1-Jul-2015.
  23. ACM
    Sumbul H, Vaidyanathan K, Zhu Q, Franchetti F and Pileggi L A synthesis methodology for application-specific logic-in-memory designs Proceedings of the 52nd Annual Design Automation Conference, (1-6)
  24. Zhengfan Xia , Hariyama M and Kameyama M (2015). Asynchronous Domino Logic Pipeline Design Based on Constructed Critical Data Path, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23:4, (619-630), Online publication date: 1-Apr-2015.
  25. Bayrakci A (2015). Stochastic logical effort as a variation aware delay model to estimate timing yield, Integration, the VLSI Journal, 48:C, (101-108), Online publication date: 1-Jan-2015.
  26. Bailey C and Mullane B (2014). Investigation of a superscalar operand stack using FO4 and ASIC wire-delay metrics, VLSI Design, 2014, (13-13), Online publication date: 1-Jan-2014.
  27. ACM
    Dong X, Jouppi N and Xie Y (2013). A circuit-architecture co-optimization framework for exploring nonvolatile memory hierarchies, ACM Transactions on Architecture and Code Optimization, 10:4, (1-22), Online publication date: 1-Dec-2013.
  28. Lin X, Wang Y and Pedram M Joint sizing and adaptive independent gate control for FinFET circuits operating in multiple voltage regimes using the logical effort method Proceedings of the International Conference on Computer-Aided Design, (444-449)
  29. ACM
    Ahn J, Son Y and Kim J (2013). Scalable high-radix router microarchitecture using a network switch organization, ACM Transactions on Architecture and Code Optimization, 10:3, (1-25), Online publication date: 16-Sep-2013.
  30. Wu K and Marculesc D (2013). A low-cost, systematic methodology for soft error robustness of logic circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 21:2, (367-379), Online publication date: 1-Feb-2013.
  31. Olivieri M and Mastrandrea A (2013). A general design methodology for synchronous early-completion-prediction adders in nano-CMOS DSP architectures, VLSI Design, 2013, (2-2), Online publication date: 1-Jan-2013.
  32. Baccarin D, Esseni D and Alioto M (2012). Mixed FBB/RBB, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20:8, (1467-1472), Online publication date: 1-Aug-2012.
  33. ACM
    Wei S and Potkonjak M Wireless security techniques for coordinated manufacturing and on-line hardware trojan detection Proceedings of the fifth ACM conference on Security and Privacy in Wireless and Mobile Networks, (161-172)
  34. Aktan M, Baran D and Oklobdzija V A quick method for energy optimized gate sizing of digital circuits Proceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation, (1-10)
  35. Stevens K, Golani P and Beerel P (2011). Energy and performance models for synchronous and asynchronous communication, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 19:3, (369-382), Online publication date: 1-Mar-2011.
  36. Elissati O, Yahya E, Rieubon S and Fesquet L Optimizing and comparing CMOS implementations of the C-element in 65nm technology Proceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation, (137-149)
  37. Ramanujam R, Soteriou V, Lin B and Peh L Design of a High-Throughput Distributed Shared-Buffer NoC Router Proceedings of the 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip, (69-78)
  38. Alioto M, Palumbo G and Pennisi M (2010). Understanding the effect of process variations on the delay of static and domino logic, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:5, (697-710), Online publication date: 1-May-2010.
  39. Morgenshtein A, Friedman E, Ginosar R and Kolodny A (2010). Unified logical effort, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 18:5, (689-696), Online publication date: 1-May-2010.
  40. Rostami M and Mohanram K Novel dual-Vth independent-gate FinFET circuits Proceedings of the 2010 Asia and South Pacific Design Automation Conference, (867-872)
  41. Joshi P, Beerel P, Roncken M and Sutherland I Timing verification of gasp asynchronous circuits Concurrency, Compositionality, and Correctness, (260-276)
  42. Yelamarthi K and Chen C (2010). Dynamic CMOS load balancing and path oriented in time optimization algorithms to minimize delay uncertainties from process variations, VLSI Design, 2010, (1-13), Online publication date: 1-Jan-2010.
  43. Chen J and Chang C (2009). High-level synthesis algorithm for the design of reconfigurable constant multiplier, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:12, (1844-1856), Online publication date: 1-Dec-2009.
  44. Gorgin S, Jaberipur G and Parhami B Design and evaluation of decimal array multipliers Proceedings of the 43rd Asilomar conference on Signals, systems and computers, (1782-1786)
  45. Chiou L and Luo S (2009). Energy-efficient dual-edge-triggered level converting flip flops with symmetry in setup times and insensitivity to output parasitics, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17:11, (1659-1663), Online publication date: 1-Nov-2009.
  46. ACM
    Kim D, Lee Y, Cai J, Lauer I, Chang L, Koester S, Sylvester D and Blaauw D Low power circuit design based on heterojunction tunneling transistors (HETTs) Proceedings of the 2009 ACM/IEEE international symposium on Low power electronics and design, (219-224)
  47. Amelifard B, Fallah F and Pedram M (2009). Low-power fanout optimization using multi threshold voltages and multi channel lengths, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 28:4, (478-489), Online publication date: 1-Apr-2009.
  48. Wang H, Catthoor F, Miranda M and Dehaene W (2008). Synthesis of Runtime Switchable Pareto Buffers Offering Full Range Fine Grained Energy/Delay Trade-Offs, Journal of Signal Processing Systems, 52:2, (193-210), Online publication date: 1-Aug-2008.
  49. Keane J, Eom H, Kim T, Sapatnekar S and Kim C (2008). Stack sizing for optimal current drivability in subthreshold in circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16:5, (598-602), Online publication date: 1-May-2008.
  50. ACM
    Morgenshtein A, Friedman E, Ginosar R and Kolodny A Timing optimization in logic with interconnect Proceedings of the 2008 international workshop on System level interconnect prediction, (19-26)
  51. ACM
    Kuon I and Rose J Area and delay trade-offs in the circuit and architecture design of FPGAs Proceedings of the 16th international ACM/SIGDA symposium on Field programmable gate arrays, (149-158)
  52. Martinez A, Alfaro F, Sanchez J, Quiles F and Duato J (2007). A New Cost-Effective Technique for QoS Support in Clusters, IEEE Transactions on Parallel and Distributed Systems, 18:12, (1714-1726), Online publication date: 1-Dec-2007.
  53. Werber J, Rautenbach D and Szegedy C Timing optimization by restructuring long combinatorial paths Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (536-543)
  54. Alpert C, Chu C and Villarrubia P The coming of age of physical synthesis Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design, (246-249)
  55. Singh M and Nowick S (2007). The design of high-performance dynamic asynchronous pipelines, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1270-1283), Online publication date: 1-Nov-2007.
  56. Singh M and Nowick S (2007). The design of high-performance dynamic asynchronous pipelines, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:11, (1256-1269), Online publication date: 1-Nov-2007.
  57. Butzen P, Reis A, Kim C and Ribas R Subthreshold leakage modeling and estimation of general CMOS complex gates Proceedings of the 17th international conference on Integrated Circuit and System Design: power and timing modeling, optimization and simulation, (474-484)
  58. ACM
    da Rosa L, Reis A, Ribas R, Marques F and Schneider F A comparative study of CMOS gates with minimum transistor stacks Proceedings of the 20th annual conference on Integrated circuits and systems design, (93-98)
  59. ACM
    Abad P, Puente V, Gregorio J and Prieto P (2007). Rotary router, ACM SIGARCH Computer Architecture News, 35:2, (116-125), Online publication date: 9-Jun-2007.
  60. ACM
    Abad P, Puente V, Gregorio J and Prieto P Rotary router Proceedings of the 34th annual international symposium on Computer architecture, (116-125)
  61. ACM
    Mang F, Hou W and Ho P Techniques for effective distributed physical synthesis Proceedings of the 44th annual Design Automation Conference, (859-864)
  62. Singh M and Nowick S (2007). MOUSETRAP, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 15:6, (684-698), Online publication date: 1-Jun-2007.
  63. Miskov-Zivanov N and Marculescu D Soft error rate analysis for sequential circuits Proceedings of the conference on Design, automation and test in Europe, (1436-1441)
  64. ACM
    Marques F, Rosa L, Ribas R, Sapatnekar S and Reis A DAG based library-free technology mapping Proceedings of the 17th ACM Great Lakes symposium on VLSI, (293-298)
  65. ACM
    Butzen P, Reis A, Kim C and Ribas R Modeling and estimating leakage current in series-parallel CMOS networks Proceedings of the 17th ACM Great Lakes symposium on VLSI, (269-274)
  66. Moreinis M, Morgenshtein A, Wagner I and Kolodny A (2006). Logic gates as repeaters (LGR) for area-efficient timing optimization, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:11, (1276-1281), Online publication date: 1-Nov-2006.
  67. ACM
    Amelifard B, Fallah F and Pedarm M Low-power fanout optimization using MTCMOS and multi-Vt techniques Proceedings of the 2006 international symposium on Low power electronics and design, (334-337)
  68. Rejimon T and Bhanja S (2006). A timing-aware probabilistic model for single-event-upset analysis, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 14:10, (1130-1139), Online publication date: 1-Oct-2006.
  69. Sambamurthy S, Abraham J and Tupuri R Delay constrained register transfer level dynamic power estimation Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (36-46)
  70. Akino T and Hamahata T A clock generator driven by a Unified-CBiCMOS buffer driver for high speed and low energy operation Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (225-236)
  71. Vratonjic M, Zeydel B and Oklobdzija V Circuit sizing and supply-voltage selection for low-power digital circuit design Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (148-156)
  72. Zeydel B and Oklobdzija V Methodology for energy-efficient digital circuit sizing Proceedings of the 16th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (127-136)
  73. ACM
    Miskov-Zivanov N and Marculescu D MARS-C Proceedings of the 43rd annual Design Automation Conference, (767-772)
  74. ACM
    Swahn B and Hassoun S Gate sizing Proceedings of the 43rd annual Design Automation Conference, (528-531)
  75. ACM
    Keane J, Eom H, Kim T, Sapatnekar S and Kim C Subthreshold logical effort Proceedings of the 43rd annual Design Automation Conference, (425-428)
  76. Karandikar S and Sapatnekar S (2005). Fast comparisons of circuit implementations, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 13:12, (1329-1339), Online publication date: 1-Dec-2005.
  77. Boyd S, Kim S, Patil D and Horowitz M (2005). Digital Circuit Optimization via Geometric Programming, Operations Research, 53:6, (899-932), Online publication date: 1-Nov-2005.
  78. Vratonjic M, Zeydel B and Oklobdzija V Low- and Ultra Low-Power Arithmetic Units Proceedings of the 2005 International Conference on Computer Design, (249-252)
  79. Dao H, Zeydel B and Oklobdija V Architectural Considerations for Energy Efficiency Proceedings of the 2005 International Conference on Computer Design, (13-16)
  80. Verle A, Landrault A, Maurine P and Azémard N Speed indicators for circuit optimization Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (618-628)
  81. Dimitrakopoulos G and Nikolos D Closed-Form bounds for interconnect-aware minimum-delay gate sizing Proceedings of the 15th international conference on Integrated Circuit and System Design: power and Timing Modeling, Optimization and Simulation, (308-317)
  82. Antelo E, Lang T, Montuschi P and Nannarelli A (2005). Digit-Recurrence Dividers with Reduced Logical Depth, IEEE Transactions on Computers, 54:7, (837-851), Online publication date: 1-Jul-2005.
  83. ACM
    Tennakoon H and Sechen C Efficient and accurate gate sizing with piecewise convex delay models Proceedings of the 42nd annual Design Automation Conference, (807-812)
  84. Seo D, Ali A, Lim W, Rafique N and Thottethodi M Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks Proceedings of the 32nd annual international symposium on Computer Architecture, (432-443)
  85. Guthaus M, Venkateswarant N, Visweswariaht C and Zolotov V Gate sizing using incremental parameterized statistical timing analysis Proceedings of the 2005 IEEE/ACM International conference on Computer-aided design, (1029-1036)
  86. ACM
    Seo D, Ali A, Lim W, Rafique N and Thottethodi M (2005). Near-Optimal Worst-Case Throughput Routing for Two-Dimensional Mesh Networks, ACM SIGARCH Computer Architecture News, 33:2, (432-443), Online publication date: 1-May-2005.
  87. Burgess N (2005). New Models of Prefix Adder Topologies, Journal of VLSI Signal Processing Systems, 40:1, (125-141), Online publication date: 1-May-2005.
  88. ACM
    Gulati G and Brunvand E Design of a cell library for asynchronous microengines Proceedings of the 15th ACM Great Lakes symposium on VLSI, (385-389)
  89. ACM
    Guthaus M, Venkateswaran N, Zolotov V, Sylvester D and Brown R Optimization objectives and models of variation for statistical gate sizing Proceedings of the 15th ACM Great Lakes symposium on VLSI, (313-316)
  90. ACM
    Boyd S and Kim S Geometric programming for circuit optimization Proceedings of the 2005 international symposium on Physical design, (44-46)
  91. Verle A, Michel X, Azemard N, Maurine P and Auvergne D Low Power Oriented CMOS Circuit Optimization Protocol Proceedings of the conference on Design, Automation and Test in Europe - Volume 1, (640-645)
  92. Dimitrakopoulos G and Nikolos D (2005). High-Speed Parallel-Prefix VLSI Ling Adders, IEEE Transactions on Computers, 54:2, (225-231), Online publication date: 1-Feb-2005.
  93. ACM
    Chu M and Allstot D Phase-locked loop synthesis using hierarchical divide-and-conquer multi-optimization Proceedings of the 2005 Asia and South Pacific Design Automation Conference, (675-678)
  94. Karandikar S and Sapatnekar S Logical effort based technology mapping Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (419-422)
  95. Omedes O, Robert M and Ramdani M A flexibility aware budgeting for hierarchical flow timing closure Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design, (261-266)
  96. ACM
    Grecu C, Pande P, Ivanov A and Saleh R Structured interconnect architecture Proceedings of the 14th ACM Great Lakes symposium on VLSI, (192-195)
  97. ACM
    Kujoth R, Wang C, Gottlieb D, Cook J and Carter N A reconfigurable unit for a clustered programmable-reconfigurable processor Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays, (200-209)
  98. Auletta R Expert System Perimeter Block Placement Floorplanning Proceedings of the conference on Design, automation and test in Europe - Volume 3
  99. Karandikar S and Sapatnekar S Fast Comparisons of Circuit Implementations Proceedings of the conference on Design, automation and test in Europe - Volume 2
  100. Seidel P and Even G (2004). Delay-Optimized Implementation of IEEE Floating-Point Addition, IEEE Transactions on Computers, 53:2, (97-113), Online publication date: 1-Feb-2004.
  101. Miura N, Kato N and Kuroda T Practical methodology of post-layout gate sizing for 15% more power saving Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (434-437)
  102. Rapaka V, Talpes E and Marculescu D Mixed-clock issue queue design for energy aware, high-performance cores Proceedings of the 2004 Asia and South Pacific Design Automation Conference, (380-383)
  103. Westra J, Jongeneel D, Otten R and Visweswariah C Time Budgeting in a Wireplanning Context Proceedings of the conference on Design, Automation and Test in Europe - Volume 1
  104. ACM
    Brodersen R, Horowitz M, Markovic D, Nikolic B and Stojanovic V Methods for true power minimization Proceedings of the 2002 IEEE/ACM international conference on Computer-aided design, (35-42)
  105. ACM
    Markovic D, Nikolic B and Brodersen R Analysis and design of low-energy flip-flops Proceedings of the 2001 international symposium on Low power electronics and design, (52-55)
  106. ACM
    Boese K, Kahng A and Mantik S On the relevance of wire load models Proceedings of the 2001 international workshop on System-level interconnect prediction, (91-98)
  107. Farrahi A, Hathaway D, Wang M and Sarrafzadeh M Quality of EDA CAD Tools Proceedings of the 1st International Symposium on Quality of Electronic Design
  108. Ho R, Mai K, Kapadia H and Horowitz M Interconnect scaling implications for CAD Proceedings of the 1999 IEEE/ACM international conference on Computer-aided design, (425-429)
  109. ACM
    Kapadia H and Horowitz M Using partitioning to help convergence in the standard-cell design automation methodology Proceedings of the 36th annual ACM/IEEE Design Automation Conference, (592-597)
  110. Coates B, Ebergen J, Lexau J, Fairbanks S, Jones I, Ridgway A, Harris D and Sutherland I A Counterflow Pipeline Experiment Proceedings of the 5th International Symposium on Advanced Research in Asynchronous Circuits and Systems
  111. ACM
    Hauck S, Hosler M and Fry T High-performance carry chains for FPGAs Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays, (223-233)
  112. Zimpeck A, Meinhardt C, Posser G and Reis R FinFET cells with different transistor sizing techniques against PVT variations 2016 IEEE International Symposium on Circuits and Systems (ISCAS), (45-48)
Contributors
  • Portland State University
  • Sun Microsystems
  • Harvey Mudd College

Reviews

Andrew Donald Booth

A design philosophy and method for designing integrated circuits so as to satisfy specified criteria—principally maximum speed—are explained in this exciting and useful text. The first two chapters introduce the method and illustrate its virtues by giving three typical examples of its use. This is followed by a more formal derivation of the method. Next, the authors discuss various types of gate element and how the design method is validated. An important problem in massive gate arrays is the relationship between the driving capacity of a single gate element and the speed of operation of a system driven by that element. The authors examine all of this in detail, with reference to a number of practically useful devices. Finally, they provide an impartial analysis of what has been achieved and a comparison with other techniques. The book ends with a definition of symbols used, a table of useful parameters, and solutions to odd-numbered examples. There is a good bibliography and a comprehensive index. Each chapter contains both worked examples and problems for students. A useful idea is borrowed from Knuth: each example bears a number that indicates its difficulty, and the authors relate this to how long they expect it will take to solve the problem. Practicing engineers and advanced students will profit from this most readable and useful text. I t is eminently suitable for instructional use.

Access critical reviews of Computing literature here

Become a reviewer for Computing Reviews.

Recommendations