Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Analysis and Design of Adders for Approximate Computing

Published: 07 December 2017 Publication History

Abstract

The concept of approximate computing, that is, to sacrifice computation quality for computation efforts, has recently emerged as a promising design approach. Over the past decade, several research works have explored approximate computing at both the software level and hardware level of abstraction with encouraging results. At the hardware level of abstraction, adders (being the fundamental and most widely used data operators in digital systems) have attracted a significant attention for approximation. In this article, we first explain briefly the need/significance of approximate adders. We then propose four Approximate Full Adders (AFAs) for high-performance energy-efficient approximate computing. The key design objective behind the proposed AFAs is to curtail the length of carry propagation subjected to minimal error rate. Next, we exploit one of the proposed AFAs (optimal one) to construct an N-bit approximate adder that hereinafter is referred as “ApproxADD.” An emergent property of ApproxADD is that carries do not propagate in it, and, consequently, it provides bit-width-aware constant delay (O(1)). ApproxADD also provides improvement in dynamic power consumption by 46.31% and in area by 28.57% w.r.t. Ripple Carry Adder (RCA), which exhibits the lowest power and area. Although ApproxADD provides a significant improvement in delay, power, and area, it may not be preferred for some of the error-resilient applications because its: (i) Error Distance (ED) is too high; and (ii) Error Rate (ER) increases rapidly with bit-width (N). To improve ED and ER, we exploit the concept of carry-lifetime and Error Detection and Correction logic, respectively. In this way, we introduce two more (improved) versions of ApproxADD--ApproxADDυ1 and ApproxADD. We call these as ApproxADDυ1 and ApproxADDυ2 with existing approximate adders based on conventional design metrics and approximate computing design metrics. Furthermore, to inspect effectiveness of the proposed approach in real-life applications, we demonstrate image compression and decompression by replacing the conventional addition operations in Discrete Cosine Transform (DCT) and Inverse Discrete Cosine Transform (IDCT) modules with ApproxADDυ2.

References

[1]
Richard P. Brent and H. T. Kung. 1982. A regular layout for parallel adders. IEEE Trans. Comput. C-31, 3 (1982), 260--264.
[2]
J. Han, C. Liu, and Fabrizio Lombardi. 2015. An analytical framework for evaluating the error characteristics of approximate adders. IEEE Trans. Comput. 5 (May 2015), 1268--1281.
[3]
CEVA. 2012. CEVA’s DSP Cores. Retrieved from http://www.ceva-dsp.com/DSP-Cores.
[4]
V. K. Chippa, S. T. Chakradhar, K. Roy, and A. Raghunathan. 2013. Analysis and characterization of inherent application resilience for approximate computing. In Proceedings of the 50th ACM/EDAC/IEEE Design Automation Conference (DAC’13). 1--9.
[5]
S. Dutt, S. Nandi, and G. Trivedi. 2016a. A comparative survey of approximate adders. In Proceedings of the 26th International Conference Radioelektronika (RADIOELEKTRONIKA’16). 61--65.
[6]
S. Dutt, H. Patel, S. Nandi, and G. Trivedi. 2016b. Exploring approximate computing for yield improvement via re-design of adders for error-resilient applications. In Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems (VLSID’16). 134--139.
[7]
H. Esmaeilzadeh, E. Blem, R. St. Amant, K. Sankaralingam, and D. Burger. 2011. Dark silicon and the end of multicore scaling. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA’11). 365--376.
[8]
A. Gupta, D. E. Culler, and J. P. Singh. 1997. Parallel Computer Architecture: A Hardware/Software Approach (1st ed.). Morgan Kaufmann.
[9]
V. Gupta, D. Mohapatra, A. Raghunathan, and K. Roy. 2013. Low-power digital signal processing using approximate adders. IEEE Trans. Comput.-Aid. Des. Integr. Circ. Sys. 32, 1 (Jan. 2013), 124--137.
[10]
Mark D. Hill and Christos Kozyrakis. 2012. Outbrief of DARPA/ISAT Workshop: Advancing Computer Systems without Technology Progress. Retrieved from http://www.sigarch.org/2012/07/28/outbrief-of-darpaisat-workshop-advancing-computer-systems-without-technology-progress/.
[11]
HSPICE. 2013. The Gold Standard for Accurate Circuit Simulation. Retrieved from http://www.synopsys.com/Tools/Verification/AMSVerification/CircuitSimulation/HSPICE/Pages/default.aspx.
[12]
Honglan Jiang, Jie Han, and Fabrizio Lombardi. 2015. A comparative review and evaluation of approximate adders. In Proceedings of the ACM 25th Edition on Great Lakes Symposium on VLSI (GLSVLSI’15). 343--348.
[13]
A. Kabbani. 2008. Modeling and optimization of switching power dissipation in static CMOS circuits. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’08). 281--285.
[14]
A. B. Kahng and Seokhyeong Kang. 2012. Accuracy-configurable adder for approximate arithmetic designs. In Proceedings of the 49th ACM/EDAC/IEEE Design Automation Conference (DAC’12). 820--825.
[15]
Y. Kim, Y. Zhang, and P. Li. 2013. An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’13). 130--137.
[16]
Peter M. Kogge and Harold S. Stone. 1973. A parallel algorithm for the efficient solution of a general class of recurrence equations. IEEE Trans. Comput. C-22, 8 (1973), 786--793.
[17]
M. Kudelka. 2012. Image quality assessment. In Proceedings of the 21st Annual Conference of Doctoral Students (WDS’12). 94--99.
[18]
J. Liang, J. Han, and F. Lombardi. 2013. New metrics for the reliability of approximate and probabilistic adders. IEEE Trans. Comput. 62, 9 (Sep. 2013), 1760--1771.
[19]
Shih Lien Lu. 2004. Speeding up processing with approximation circuits. IEEE Trans. Comput. 37, 3 (Mar. 2004), 67--73.
[20]
H. R. Mahdiani, A. Ahmadi, S. M. Fakhraie, and C. Lucas. 2010. Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Trans. Circ. Syst. I 57, 4 (Apr. 2010), 850--862.
[21]
MATLAB. 2015. Version 8.5 (R2015a). Retrieved from https://in.mathworks.com/products/matlab.html.
[22]
Mark Miller. 2005. Nanometer Yield Enhancement Begins in the Design Phase. Retrieved from http://electronicdesign.com/products/nanometer-yield-enhancement-begins-design-phase.
[23]
Sparsh Mittal. 2016. A survey of techniques for approximate computing. Comput. Surv. 48, 4 (Mar. 2016), 62:1--62:33.
[24]
Behrooz Parhami. 2010. Computer Arithmetic: Algorithms and Hardware Designs (2nd ed.). Oxford University Press, UK.
[25]
Ramjee Prasad and Albena Mihovska. 2009. New Horizons in Mobile and Wireless Communications: Radio Interfaces (1st ed.). Artech House.
[26]
PTM. 2007. Predictive Technology Model (PTM). Retrieved from http://ptm.asu.edu/modelcard/32nm_MGK.pm.
[27]
Jan Rabaey. 2009. Low Power Design Essentials (1st ed.). Springer.
[28]
Doochul Shin and S. K. Gupta. 2008. A re-design technique for datapath modules in error tolerant applications. In Proceedings of the 17th Asian Test Symposium (ATS’08). 431--437.
[29]
J. Sklansky. 1960. Conditional-sum addition logic. IRE Trans. Electr. Comput. EC-9, 2 (Jun. 1960), 226--231.
[30]
L. B. Soares, S. Bampi, and E. Costa. 2015. Approximate adder synthesis for area- and energy-efficient FIR filters in CMOS VLSI. In Proceedings of the IEEE 13th International New Circuits and Systems Conference (NEWCAS’15). 1--4.
[31]
Ivan Sutherland, Bob Sproull, and David Harris. 1999. Logical Effort: Designing Fast CMOS Circuits. Morgan Kaufmann.
[32]
Tanner. 2015. Tanner S-Edit Schematic Capture. Retrieved from https://www.mentor.com/tannereda/s-edit.
[33]
USC-SIPI. 1977. The USC-SIPI Image Database. Retrieved from http://sipi.usc.edu/database/database.php.
[34]
A. K. Verma, P. Brisk, and P. Ienne. 2008. Variable latency speculative addition: A new paradigm for arithmetic circuit design. In Proceedings of the Design, Automation 8 Test in Europe (DATE’08). 1250--1255.
[35]
Zhou Wang and Alan C. Bovik. 2006. Modern Image Quality Assessment. Morgan 8 Claypool.
[36]
Neil Weste and David Harris. 2010. CMOS VLSI Design: A Circuits and Systems Perspective. Addison-Wesley.
[37]
X. Yang, Y. Xing, F. Qiao, Q. Wei, and H. Yang. 2016. Approximate adder with hybrid prediction and error compensation technique. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’16). 373--378.
[38]
Ning Zhu, Wang Ling Goh, and Kiat Seng Yeo. 2009. An enhanced low-power high-speed adder for error-tolerant application. In Proceedings of the 12th International Symposium on Integrated Circuits (ISIC’09). 69--72.
[39]
Ning Zhu, Wang Ling Goh, Weija Zhang, Kiat Seng Yeo, and Zhi Hui Kong. 2010. Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE Trans. VLSI Syst. 18, 8 (Aug. 2010), 1225--1229.

Cited By

View all
  • (2024)On the Commutative Operation of Approximate CMOS Ripple Carry Adders (RCAs)IEEE Transactions on Nanotechnology10.1109/TNANO.2023.334284423(265-273)Online publication date: 2024
  • (2023)A Comprehensive Model for Efficient Design Space Exploration of Imprecise Computational BlocksACM Transactions on Embedded Computing Systems10.1145/362555522:6(1-20)Online publication date: 9-Nov-2023
  • (2023)Efficient Approximate Multipliers Design Utilizing Approximate Adders: A Comparative Evaluation2023 IEEE 9th International Women in Engineering (WIE) Conference on Electrical and Computer Engineering (WIECON-ECE)10.1109/WIECON-ECE60392.2023.10456462(1-6)Online publication date: 25-Nov-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Embedded Computing Systems
ACM Transactions on Embedded Computing Systems  Volume 17, Issue 2
Special Issue on MEMCODE 2015 and Regular Papers (Diamonds)
March 2018
640 pages
ISSN:1539-9087
EISSN:1558-3465
DOI:10.1145/3160927
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Journal Family

Publication History

Published: 07 December 2017
Accepted: 01 July 2017
Revised: 01 May 2017
Received: 01 December 2016
Published in TECS Volume 17, Issue 2

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. Approximate computing
  2. approximate adders
  3. delay-power-area-accuracy trade-off
  4. error-resilient applications

Qualifiers

  • Research-article
  • Research
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)85
  • Downloads (Last 6 weeks)6
Reflects downloads up to 04 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2024)On the Commutative Operation of Approximate CMOS Ripple Carry Adders (RCAs)IEEE Transactions on Nanotechnology10.1109/TNANO.2023.334284423(265-273)Online publication date: 2024
  • (2023)A Comprehensive Model for Efficient Design Space Exploration of Imprecise Computational BlocksACM Transactions on Embedded Computing Systems10.1145/362555522:6(1-20)Online publication date: 9-Nov-2023
  • (2023)Efficient Approximate Multipliers Design Utilizing Approximate Adders: A Comparative Evaluation2023 IEEE 9th International Women in Engineering (WIE) Conference on Electrical and Computer Engineering (WIECON-ECE)10.1109/WIECON-ECE60392.2023.10456462(1-6)Online publication date: 25-Nov-2023
  • (2023)Efficient design of approximate adders for FPGAs2023 International Conference on Research Methodologies in Knowledge Management, Artificial Intelligence and Telecommunication Engineering (RMKMATE)10.1109/RMKMATE59243.2023.10369960(1-7)Online publication date: 1-Nov-2023
  • (2023)Design and Evaluation of Low Power Error Tolerant Adder2023 International Conference on Next Generation Electronics (NEleX)10.1109/NEleX59773.2023.10421301(1-6)Online publication date: 14-Dec-2023
  • (2023)Implementation of Area Efficient Adders for Inexact Computing2023 International Symposium on Devices, Circuits and Systems (ISDCS)10.1109/ISDCS58735.2023.10153518(01-04)Online publication date: 29-May-2023
  • (2023)Design of Approximate Full Adders for Error Resilient Applications2023 International Conference on Computer and Applications (ICCA)10.1109/ICCA59364.2023.10401821(1-6)Online publication date: 28-Nov-2023
  • (2023)Floating Point Adder using Inexact Mantissa Adder2023 4th International Conference on Computing and Communication Systems (I3CS)10.1109/I3CS58314.2023.10127550(1-5)Online publication date: 16-Mar-2023
  • (2023)SAMA: Self-adjusting multi-cycle approximate adderMicroelectronics Journal10.1016/j.mejo.2023.105740(105740)Online publication date: Mar-2023
  • (2022)Performance Metric Evaluation of Error-Tolerant Adders for 2D Image BlendingElectronics10.3390/electronics1115246111:15(2461)Online publication date: 8-Aug-2022
  • Show More Cited By

View Options

Get Access

Login options

Full Access

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media