Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
article

Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System

Published: 01 May 2001 Publication History

Abstract

In this paper, we first present a reconfigurable architecture template for low-power digital signal processing, and then an energy conscious design methodology to bridge the algorithm to architecture gap. The energy efficiency of such an architecture and the effectiveness of the methodology are demonstrated in case study implementations targeting baseband voice processing and digital signal processing.

References

[1]
G.R. Goslin, "A Guide to Using Field Programmable Gate Ar-rays for Application-Specific Digital Signal Processing Performance, " in Proceedings of SPIE, vol. 2914, pp. 321---331.
[2]
M. Goel and N.R. Shanbhag, "Low-Power Equalizers for 1.84 Mb/s Very High-Speed Digital Subscriber Loop {VDSL} Modems, " in Proceedings of IEEE Workshop on Signal Processing Systems, Boston, Oct. 1998.
[3]
The Pleiades project homepage (http://infopad.EECS.Berkeley. EDU/research/reconfigurable).
[4]
A. Abnous and J. Rabaey, "Ultra-Low-Power Domain-Speci-fic Multimedia Processors, " in Proceedings of the IEEE VLSI Signal Processing Workshop, San Francisco, California, USA, Oct. 1996.
[5]
A. Abnous et al., "Evaluation of a Low-Power Reconfigurable DSP Architecture, " in Proceedings of the Reconfigurable Archi-tectures Workshop, Orlando, Florida, USA, March 1998.
[6]
J. Borel, "Technologies for Multimedia Systems on a Chip, " 1997 IEEE International Solid-State Circuits Conference, pp. 18---21.
[7]
J.M. Rabaey, "Reconfigurable Computing: The Solution to Low Power Programmable DSP, " in Proc.to 1997 ICASSP Conference, Munich, April 1997.
[8]
B.P. Dave, G. Lakshminarayana, and N.K. Jha, "COSYN: Hardware-Software Consynthesis of Embedded Systems, " Design Automation Conference, 1997, pp. 703---708.
[9]
G. De Micheli and R.K. Gupta, "Hardware/Software Co-Design, " in Proc.of the IEEE, vol 85, no. 3, March 1997, pp. 349---365.
[10]
J. Hauser and J. Wawrzynek, "GARP: A MIPS Processor with a Reconfigurable Coprocessor, " in Proceedings of IEEE Worship on FPGA for Custom Computing Machines, J. Arnold and K.L. Pocek (Eds.) Napa, CA, April 1997.
[11]
T. 6Garverick et al., NAPA1000, http://www.national.com/ appinfo/milaero/napa1000.
[12]
R. Razdan, K. Brace, and M.D. Smith, "PRISC Software Acceleration Techniques, " in Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors, Cambridge, MA, USA, Oct. 1994.
[13]
A. Kalavade and P.A. Subrahmayan, "Hardware/Software Par-titioning of Multi-function Systems, " in Proceedings of IEEE International Conference on Computer Aided Design, San Jose, CA, USA, 9---13 Nov. 1997.
[14]
M. Wan, D. Lidsky, Y. Ichikawa, and J. Rabaey, "An Energy Conscious Methodology for Early Design Exploration of Heterogeneous DSPS, " in Proceedings of the Custom Integrated Circuit Conference, Santa Clara, CA, USA, May 1998.
[15]
D. Lidsky and J. Rabaey, "Early Power Exploration--A World Wide Web Application, " in Proc.Design Automation Conference, Las Vegas, NV, June 1996.
[16]
R. Mehra, et al., "Algorithm and Architectural Level Methodologies for Low Power, " pp. 335---362, in Rabaey (14).
[17]
Stanford Unified Intermediate Form, http://www-suif. stanford.edu/suif/.
[18]
V. Tiwari, S. Malik, A. Wolfe, and T.C. Lee, "Instruction Level Power Analysis and Optimization of Software, " Journal of VLSI Signal Processing, 1996.
[19]
Texas Instruments Application Reports, http://www.ti.com/ sc/docs/psheets/appnote.htm.
[20]
Advanced RISC Machines, ARMulator version 2.10.
[21]
P. Landman and J. Rabaey, "Black Box Capacitance Models for Architectural Power Analysis, " in Proc.of the International Workshop on Low Power Design, April 1994, pp. 165---170.
[22]
V. George, H. Zhang, and J. Rabaey, "Low Energy FPGA Design, " ISLPED 1999, submitted.
[23]
T. Callahan, P. Chong, A. DeHon, and J. Wawrzynek, "Fast Module Mapping and Placement for Datapahs in FPGAs, " in Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays (FPGA '98, Feb. 22---24, 1998).
[24]
R. Mehra, "High-Level Estimation and Synthesis Techniques for Low-Power Design, " Doctoral Thesis, May, 1997.
[25]
H. Zhang, M. Wan, V. George, and J. Rabaey, "Interconnect Architecture Exploration for Low Energy Reconfigurable Single-Chip DSPs, " in Proceedings of the WVLSI, Orlando, FL, USA, April 1999.
[26]
M. Potkonjak and J. Rabaey, "Exploring the Algorithmic Design Space using High Level Synthesis, " in Proc.of IEEE Workshop on VLSI Signal Processing VI, pp. 123---131, 1993.
[27]
SunSoft Press, "Solaris Multithreaded Programming Guide. "
[28]
A. Kalavade, "System Level Codesign of Mixed Hardware-Software System, " Tech Report UCB/ERL 95/88, Ph.D. Dissertation, Department of EECS, University of California, Berkeley, CA 94720, Sep. 1995.
[29]
E. Kusse, "Analysis and Circuit Design for Low-Power Programmable Logic Modules, " Master's Thesis, UC Berkeley, 1997.
[30]
S. Hauck, "Configuration Prefetch for Single Context Recon-figurable Coprocessors, " in Proceedings of 1998 International Symposium on Field Programmable Gate Arrays, Monterey, CA, USA, 22---25 Feb. 1998.
[31]
M.J. Alexander et al., "Performance-Oriented Placement and Routing for Field Programmable Gate Arrays, " in Proceedings of EURO-DAC, European Design Automation Conference, Brighton, UK, 18---22 Sept. 1995.
[32]
S. Li, M. Wan, and J. Rabaey, "Configuration Code Generation and Optimizations for Heterogeneous Reconfigurable DSPs, " in Proceedings of SiPS, 1999.
[33]
N. Zhang, "Implementation Issues in a Wideband Receiver Using Multiuser Detection, " Master's Thesis, University of California at Berkeley, 1998.

Cited By

View all
  • (2010)Squashing code size in microcoded IPs while delivering high decompression speedDesign Automation for Embedded Systems10.1007/s10617-010-9057-z14:3(265-284)Online publication date: 1-Sep-2010
  • (2009)Squashing microcode stores to size in embedded systems while delivering rapid microcode accessesProceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis10.1145/1629435.1629471(249-256)Online publication date: 11-Oct-2009
  • (2009)Resource management and task partitioning and scheduling on a run-time reconfigurable embedded systemComputers and Electrical Engineering10.1016/j.compeleceng.2008.06.00835:2(258-285)Online publication date: 1-Mar-2009
  • Show More Cited By

Index Terms

  1. Design Methodology of a Low-Energy Reconfigurable Single-Chip DSP System
    Index terms have been assigned to the content through auto-classification.

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    Publisher

    Kluwer Academic Publishers

    United States

    Publication History

    Published: 01 May 2001

    Author Tags

    1. design methodology
    2. digital signal processor
    3. low-power
    4. reconfigurable architecture

    Qualifiers

    • Article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 06 Oct 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2010)Squashing code size in microcoded IPs while delivering high decompression speedDesign Automation for Embedded Systems10.1007/s10617-010-9057-z14:3(265-284)Online publication date: 1-Sep-2010
    • (2009)Squashing microcode stores to size in embedded systems while delivering rapid microcode accessesProceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis10.1145/1629435.1629471(249-256)Online publication date: 11-Oct-2009
    • (2009)Resource management and task partitioning and scheduling on a run-time reconfigurable embedded systemComputers and Electrical Engineering10.1016/j.compeleceng.2008.06.00835:2(258-285)Online publication date: 1-Mar-2009
    • (2008)A design flow for architecture exploration and implementation of partially reconfigurable processorsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.5555/1515843.151584716:10(1281-1294)Online publication date: 1-Oct-2008
    • (2008)Design of a HW/SW communication infrastructure for a heterogeneous reconfigurable processorProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403700(1352-1357)Online publication date: 10-Mar-2008
    • (2008)Enhancing energy efficiency of processor-based embedded systems through post-fabrication ISA extensionProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1393987(241-246)Online publication date: 11-Aug-2008
    • (2006)System-level scheduling on instruction cell based reconfigurable systemsProceedings of the conference on Design, automation and test in Europe: Proceedings10.5555/1131481.1131584(381-386)Online publication date: 6-Mar-2006
    • (2006)Partitioning Methodology for Heterogeneous Reconfigurable Functional UnitsThe Journal of Supercomputing10.1007/s11227-006-6743-538:1(17-34)Online publication date: 1-Oct-2006
    • (2005)Architecture Exploration for a Reconfigurable Architecture TemplateIEEE Design & Test10.1109/MDT.2005.2722:2(90-101)Online publication date: 1-Mar-2005
    • (2005)A Framework for Partitioning Computational Intensive Applications in Hybrid Reconfigurable PlatformsProceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS'05) - Workshop 3 - Volume 0410.1109/IPDPS.2005.26Online publication date: 4-Apr-2005
    • Show More Cited By

    View Options

    View options

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media