Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/378239.378526acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

A new structural pattern matching algorithm for technology mapping

Published: 22 June 2001 Publication History

Abstract

In this paper, a new structural matching algorithm for technology mapping is proposed. The algorithm is based on a key observation that the matches for a node in a subject Boolean network are related to the matches for its children. The structural relationships between the library cells are modeled using a lookup table. The proposed method is fast, has low memory usage, and is easy to implement. Experimental results show speedups of 20x over Matsunaga's fast mapping approach, and orders of magnitude over SIS, with the same or slightly better results, and much lower memory utilization.

References

[1]
L. Benini and G. D. Micheli, "A survey of boolean matching techniques for library binding," ACM TODAES, vol. 2, pp. 193-226, July 1997.
[2]
D. Gregory, K. Bartlett, A. D. Geus, and G. Hachtel, "SOCRATES: A system for automatically synthesizing and optimizing combinational logic," in Proc. DAC, pp. 79-85, 1986.
[3]
K. Keutzer, "DAGON: technology mapping and local optimization," in Proc. DAC, pp. 341-347, 1987.
[4]
E. Detjens, G. Gannot, R. Rudell, A. Sangiovanni-Vincentelli, and A. Wang, "Technology mapping in MIS," in Proc. ICCAD, pp. 116-119, 1987.
[5]
G. D. Micheli, Synthesis and optimization of digital circuits. McGraw-Hill, Inc., New York, NY, 1994.
[6]
S. Devadas, A. Ghosh, and K. Keutzer, Logic Synthesis. New York: McGraw-Hill, 1994.
[7]
A. Aho and M. Corasick, "Efficient string matching: An aid to bibliographic search," Communications of the ACM, vol. 18, pp. 333-340, June 1975.
[8]
Y. Matsunaga, "On accelerating pattern matching for technology mapping," in Proc. ICCAD, pp. 118-123, 1998.
[9]
C. Hoffmann and M. ODonnell, "Pattern Matching in Trees," in Journal of the ACM, pp. 68-95, 1982.
[10]
R. Rudell, Logic synthesis for VLSI Design. Memorandum UCB/ERL M89/49, Ph.D. Dissertation, UC Berkeley, 1989.

Cited By

View all
  • (2023)1+1 <2: Efficient Automatic Standard Cell Sharing Between Digital VLSI Designs for Area SavingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324488942:11(4177-4190)Online publication date: Nov-2023
  • (2021)Design Constraint Based AttacksSplit Manufacturing of Integrated Circuits for Hardware Security and Trust10.1007/978-3-030-73445-9_2(31-62)Online publication date: 13-Mar-2021
  • (2019)Layout recognition attacks on split manufacturingProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287698(45-50)Online publication date: 21-Jan-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '01: Proceedings of the 38th annual Design Automation Conference
June 2001
863 pages
ISBN:1581132972
DOI:10.1145/378239
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 22 June 2001

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

DAC01
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 13 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)1+1 <2: Efficient Automatic Standard Cell Sharing Between Digital VLSI Designs for Area SavingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.324488942:11(4177-4190)Online publication date: Nov-2023
  • (2021)Design Constraint Based AttacksSplit Manufacturing of Integrated Circuits for Hardware Security and Trust10.1007/978-3-030-73445-9_2(31-62)Online publication date: 13-Mar-2021
  • (2019)Layout recognition attacks on split manufacturingProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287698(45-50)Online publication date: 21-Jan-2019
  • (2007)Technology Mapping for Area Optimized Quasi Delay Insensitive CircuitsVlsi-Soc: From Systems To Silicon10.1007/978-0-387-73661-7_5(55-69)Online publication date: 2007
  • (2006)Survey on Subtree Matching2006 International Conference on Intelligent Engineering Systems10.1109/INES.2006.1689372(216-221)Online publication date: 2006
  • (2004)Advanced technology mapping for standard-cell generatorsProceedings of the 17th symposium on Integrated circuits and system design10.1145/1016568.1016636(254-259)Online publication date: 4-Sep-2004

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media