Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/378239.379021acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Fast power/ground network optimization based on equivalent circuit modeling

Published: 22 June 2001 Publication History

Abstract

This paper presents an efficient algorithm for optimizing the area of power or ground networks in integrated circuits subject to the reliability constraints. Instead of solving the original power/ground networks extracted from circuit layouts as previous methods did, the new method first builds the equivalent models for many series resistors in the original networks, then the sequence of linear programming method [9] is used to solve the simplified networks. The solutions of the original networks then are back solved from the optimized, simplified networks. The new algorithm simply exploits the regularities in the power/ground networks. Experimental results show that the complexities of simplified networks are typically significantly smaller than that of the original circuits, which renders the new algorithm extremely fast. For instance, power/ground networks with more than one million branches can be sized in a few minutes on modern SUN workstations.

References

[1]
J. R. Black, "Electromigration failure modes in aluminum metalization for semiconductor devices," in Proc. of IEEE, vol. 57, pp.1587-1597, Sept. 1996.
[2]
S. Chowdhury and M. A. Breuer, "Minimal area design of power/ground nets having graph topologies," IEEE Trans. Circuits and Systems, vol. CAS-34, no. 12, pp. 1441-1451, Dec. 1987.
[3]
S. Chowdhury and M. A. Breuer, "Optimum design of IC power/ground networks subject to reliability constraints," IEEE Trans. Computer-Aided Design, vol. 7, no. 7, pp. 787-796, July 1988.
[4]
S. Chowdhury, "Optimum design of reliable IC power networks having general graph topologies," in Proc. 26th ACM/IEEE Design Automation Conf., pp. 787-790, 1989.
[5]
R. Dutta and M. Marek-Sadowska, " Automatic sizing of power/ground (P/G) networks VLSI," in Proc. 26th ACM/IEEE Design Automation Conf., pp. 783-786, 1989.
[6]
R. E. Griffith and R. A. Stewart, "A nonlinear programming technique for the optimization of continuous process systems," Management Science, no. 7, pp. 379-392, 1961.
[7]
D. Stark and M. Horowitz, "Techniques for Calculating Currents and Voltages in VLSI Power Supply Networks," IEEE Trans. on Computer-Aided Design, vol. 9, no. 2, pp. 126-132, February 1990.
[8]
H. Su, K. H. Gala and S. Sapatnekar, "Fast analysis and optimization of power/ground networks," in Proc. IEEE/ACM International Conf. on Computer-Aided Design., pp. 477-480, 2000.
[9]
X.-D. Tan and C.-J. Richard Shi, "Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programming," in Proc. 36th ACM/IEEE Design Automation Conf., pp. 78-83, 1999.

Cited By

View all
  • (2021)A Time Constant Estimation Method for Block RC Circuits with Application to Power Grid Analysis2021 IEEE 14th International Conference on ASIC (ASICON)10.1109/ASICON52560.2021.9620536(1-4)Online publication date: 26-Oct-2021
  • (2019)Noise-Aware DVFS for Efficient Transitions on Battery-Powered IoT DevicesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.2917844(1-1)Online publication date: 2019
  • (2019)From Layout to System: Early Stage Power Delivery and Architecture Co-ExplorationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.283443838:7(1291-1304)Online publication date: Jul-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '01: Proceedings of the 38th annual Design Automation Conference
June 2001
863 pages
ISBN:1581132972
DOI:10.1145/378239
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 22 June 2001

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

DAC01
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)23
  • Downloads (Last 6 weeks)1
Reflects downloads up to 13 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2021)A Time Constant Estimation Method for Block RC Circuits with Application to Power Grid Analysis2021 IEEE 14th International Conference on ASIC (ASICON)10.1109/ASICON52560.2021.9620536(1-4)Online publication date: 26-Oct-2021
  • (2019)Noise-Aware DVFS for Efficient Transitions on Battery-Powered IoT DevicesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2019.2917844(1-1)Online publication date: 2019
  • (2019)From Layout to System: Early Stage Power Delivery and Architecture Co-ExplorationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2018.283443838:7(1291-1304)Online publication date: Jul-2019
  • (2018)Noise-aware DVFS transition sequence optimization for battery-powered IoT devicesProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196080(1-6)Online publication date: 24-Jun-2018
  • (2018)Optimal Allocation of LDOs and Decoupling Capacitors within a Distributed On-Chip Power GridACM Transactions on Design Automation of Electronic Systems10.1145/317787723:4(1-15)Online publication date: 9-May-2018
  • (2018)Noise-Aware DVFS Transition Sequence Optimization for Battery-Powered IoT Devices2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC)10.1109/DAC.2018.8465802(1-6)Online publication date: Jun-2018
  • (2017)CN-SIM: A cycle-accurate full system power delivery noise simulator2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2017.7858382(554-559)Online publication date: Jan-2017
  • (2016)A novel cross-layer framework for early-stage power delivery and architecture co-explorationProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2897969(1-6)Online publication date: 5-Jun-2016
  • (2016)Concurrent optimisation method for three‐dimensional power delivery network designIET Circuits, Devices & Systems10.1049/iet-cds.2015.011210:4(266-273)Online publication date: Jul-2016
  • (2015)A Cross-Layer Approach for Early-Stage Power Grid Design and OptimizationACM Journal on Emerging Technologies in Computing Systems10.1145/270024612:3(1-20)Online publication date: 21-Sep-2015
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media