Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/329166acmconferencesBook PagePublication PagesfpgaConference Proceedingsconference-collections
FPGA '00: Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
ACM2000 Proceeding
Publisher:
  • Association for Computing Machinery
  • New York
  • NY
  • United States
Conference:
FPGA00: ACM/SIGDA International Symposium on Field Programmable Gate Arrays Monterey California USA February 10 - 11, 2000
ISBN:
978-1-58113-193-2
Published:
01 February 2000
Sponsors:

Bibliometrics
Abstract

No abstract available.

Article
Free
The effect of LUT and cluster size on deep-submicron FPGA performance and density

We use a fully timing-driven experimental flow [4] [15] in which a set of benchmark circuits are synthesized into different cluster-based [2] [3] [15] logic block architectures, which contain groups of LUTs and flip-flops. We look across all ...

Article
Free
Programmable memory blocks supporting content-addressable memory

The Embedded System Block (ESB) of the APEX E programmable logic device family from Altera Corporation includes the capability of implementing content addressable memory (CAM) as well as product term macrocells, ROM, and dual port RAM. In CAM mode each ...

Article
Free
A novel high throughput reconfigurable FPGA architecture

With increased logic density due to the shift towards Deep Submicron technologies (DSM), FPGAs have become a viable option for implementing large designs. However, most commercial FPGAs, due to their general purpose architectural nature, cannot handle ...

Article
Free
An FPGA implementation and performance evaluation of the Serpent block cipher

With the expiration of the Data Encryption Standard (DES) in 1998, the Advanced Eneryption Standard (AES) development process is well underway. It is hoped that the result of the AES process will be the specification of a new non-classified encryption ...

Article
Free
Factoring large numbers with programmable hardware

Most advanced forms of security for electronic transactions rely on the public-key cryptosystems developed by Rivest, Shamir and Adleman. Unfortunately, these systems are only secure while it remains difficult to factor large integers. The fastest ...

Article
Free
Technology mapping for k/m-macrocell based FPGAs

In this paper, we study the technology mapping problem for a novel FPGA architecture that is based on k-input single-output PLA-like cells, or, k/m-macrocells. Each cell in this architecture can implement a single output function of up to k inputs and ...

Article
Free
Technology mapping issues for an FPGA with lookup tables and PLA-like blocks

In this paper we present new technology mapping algorithms for use in a programmable logic device (PLD) that contains both lookup tables (LUTs) and PLA-like blocks. The technology mapping algorithms partially collapse circuits to reduce either area or ...

Article
Free
Heterogeneous technology mapping for FPGAs with dual-port embedded memory arrays

It has become clear that on-chip storage is an essential component of high-density FPGAs. These arrays were originally intended to implement storage, but recent work has shown that they can also be used to implement logic very efficiently. This previous ...

Article
Free
Synthesis for FPGAs with embedded memory blocks

Embedded memory blocks (EMBs) are used in modern field programmable gate arrays (FPGAs) for implementation of on-chip memories or specialized logic functions[1]. In this paper, we propose an integrated approach with structural clustering and functional ...

Article
Free
A reconfigurable multi-function computing cache architecture

A considerable portion of a chip is dedicated to a cache memory in a modern microprocessor chip. However, some applications may not actively need all the cache storage, especially the computing bandwidth limited applications. Instead, such applications ...

Article
Free
A C compiler for a processor with a reconfigurable functional unit

This paper describes a C compiler for a mixed Processor/FPGA architecture where the FPGA is a Reconfigurable Functional Unit (RFU). It presents three compilation techniques that can extract computations from applications to put into the RFU. The results ...

Article
Free
The John Henry Syndrome (panel session)(abstract only): humans vs. machines as FPGA designers

Human designers have done amazing things with FPGAs. These designs challenge our assumptions about the speeds and densities acheivable by programmable hardware. But with multi-million gate designs and increasingly complex FPGA architectures is there ...

Article
Free
A representation for dynamic graphs in reconfigurable hardware and its application to fundamental graph algorithms

This paper gives a representation for graph data structures as electronic circuits in reconfigurable hardware. Graph properties, such as vertex reachability, are computed quickly by exploiting a graph's edge parallelism—signals propagate along many ...

Article
Free
The application of genetic algorithms to the design of reconfigurable reasoning VLSI chips

In this paper, we present a new genetic-algorithm-based design methodology for reasoning VLSI chips, called as LoDETT (logic design with the evolved truth table). In LoDETT, each task's case database is transformed into truth tables, which are evolved ...

Article
Free
A benchmark suite for evaluating configurable computing systems—status, reflections, and future directions

This paper presents a benchmark suite for evaluating a configurable computing system's infrastructure, both tools and architecture. A novel aspect of this work is the use of stressmarks, benchmarks that focus on a specific characteristic or property of ...

Article
Free
Field programmable port extender (FPX) for distributed routing and queuing

Field Programmable Gate Arrays (FPGAs) are being used to provide fast Internet Protocol (IP) packet routing and advanced queuing in a highly scalable network switch. A new module, called the Field-programmable Port Extender (FPX), is being built to ...

Article
Free
Implementing a RAKE receiver for wireless communications on an FPGA-based computer system

RAKE receivers are widely used in the wireless communications industry. Currently, custom VLSI is the most popular implementation. Programmable and reconfigurable logic implementations are becoming more attractive because of their flexibility and due to ...

Article
Free
Generating highly-routable sparse crossbars for PLDs

A method for evaluating and constructing sparse crossbars which are both area efficient and highly routable is presented. The evaluation method uses a network flow algorithm to accurately compute the percentage of random test vectors that can be routed. ...

Article
Free
New parallelization and convergence results for NC: a negotiation-based FPGA router

The negotiation-based routing paradigm has been used successfully in a number of FPGA routers. In this paper, we report several new findings related to the negotiation-based routing paradigm. We examine in-depth the convergence of the negotiation-based ...

Article
Free
Automatic generation of FPGA routing architectures from high-level descriptions

In this paper we present a “high-level” FPGA architecture description language which lets FPGA architects succinctly and quickly describe an FPGA routing architecture. We then present an “architecture generator” built into the VPR CAD tool [1, 2] that ...

Article
Free
Tolerating operational faults in cluster-based FPGAs

In recent years the application space of reconfigurable devices has grown to include many platforms with a strong need for fault tolerance. While these systems frequently contain hardware redundancy to allow for continued operation in the presence of ...

Article
Free
Power estimation approach for SRAM-based FPGAs

This paper presents the power consumption estimation for the novel Virtex architecture. Due to the fact that the XC4000 and the Virtex core architecture are very similar, we used the basic approaches for the XC4000-FPGAs power consumption estimation and ...

Article
Free
Timing-driven placement for FPGAs

In this paper we introduce a new Simulated Annealing-based timing-driven placement algorithm for FPGAs. This paper has three main contributions. First, our algorithm employs a novel method of determining source-sink connection delays during placement. ...

Article
Algorithm analysis and mapping environment for adaptive computing systems (poster abstract)

Our team is developing an integrated algorithm analysis and mapping environment for migrating a dataflow representation of a signal processing algorithm into an Adaptive Computing System (ACS) consisting of FPGAs. This environment allows designers to ...

Article
Coarse-grained carry architecture for FPGA (poster abstract)

The fine grain size of current FPGA has been a major performance bottleneck. In this paper, we introduce a coarse-grained carry architecture that increases the grain size from a two-bit addition/subtraction per logic block to an m-bit addition/...

Article
Cost minimization of partitioned circuits with complex resource constraints in FPGAs (poster abstract)

In this paper, we formulated a new cost minimization partition problem with complex resource constraints in large FPGAs and proposed a maximum matching and ILP based algorithm to solve it. In traditional partitioning methods, one starts with a random ...

Contributors
  • Xilinx Inc.
  • University of Washington

Index Terms

  1. Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays

      Recommendations

      Acceptance Rates

      Overall Acceptance Rate 125 of 627 submissions, 20%
      YearSubmittedAcceptedRate
      FPGA '18116109%
      FPGA '171012525%
      FPGA '161112018%
      FPGA '151022020%
      FPGA '141103027%
      FPGA '12872023%
      Overall62712520%