Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
Bibliometrics
research-article
Open Access
MLCAD: A Survey of Research in Machine Learning for CAD Keynote Paper

Due to the increasing size of integrated circuits (ICs), their design and optimization phases (i.e., computer-aided design, CAD) grow increasingly complex. At design time, a large design space needs to be explored to find an implementation that fulfills ...

research-article
Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure

In three-dimensional integrated circuits (3D-ICs), through silicon via (TSV) is a critical technique in providing vertical connections. However, yield is one of the key obstacles to adopt the TSV-based 3D-ICs technology in the industry. Various fault-...

research-article
Open Access
Fundamental Limits on Energy-Delay-Accuracy of In-Memory Architectures in Inference Applications

This article obtains fundamental limits on the computational precision of in-memory computing architectures (IMCs). An IMC noise model and associated signal-to-noise ratio (SNR) metrics are defined and their interrelationships analyzed to show that the ...

research-article
ACED-IT: Assuring Confidential Electronic Design Against Insider Threats in a Zero-Trust Environment

The electronics supply chain has adapted into a global process over the past two decades to support the cost of process optimization. As the semiconductor industry has transitioned from a vertical to the horizontal business model, the perceived ...

research-article
Correlation Integral-Based Intrinsic Dimension: A Deep-Learning-Assisted Empirical Metric to Estimate the Robustness of Physically Unclonable Functions to Modeling Attacks

Physically unclonable functions (PUFs) which are robust to modeling attacks, usually have a complex, high-dimensional, nonlinear relationship between challenges and responses. Often, it is difficult to derive closed-form analytical expressions for these ...

research-article
A Comprehensive Evaluation of Integrated Circuits Side-Channel Resilience Utilizing Three-Independent-Gate Silicon Nanowire Field Effect Transistors-Based Current Mode Logic

Side-channel attack (SCA) is one of the physical attacks, which will reveal the confidential information from cryptographic circuits by statistically analyzing physical manifestations. Various circuit-level countermeasures have been proposed as ...

research-article
BOT-MICS: Bounding Time Using Analytics in Mixed-Criticality Systems

An increasing trend for reducing cost, space, and weight leads to modern embedded systems that execute multiple tasks with different criticality levels on a common hardware platform while guaranteeing a safe operation. In such mixed-criticality (MC) ...

research-article
MDD: A Unified Model-Driven Design Framework for Embedded Control Software

Model-driven methods are widely used in embedded control software development. Current design tools, such as Ptolemy-II and Simulink, have strong modeling capability but their simulation and code generation functionalities are challenged by the increasing ...

research-article
ASCENT: Communication Scheduling for SDF on Bufferless Software-Defined NoC

Bufferless software-defined network-on-chip (NoC) is a promising alternative to conventional dynamic routing as it offers predictable data movement with real-time guarantees. Existing time-division multiplexing (TDM)-based mechanisms for predictability ...

research-article
Rebirth-FTL: Lifetime Optimization via Approximate Storage for NAND Flash Memory

The lifetime of NAND flash cells significantly degrades with feature-size reductions and multilevel cell technology. On the other hand, we have more and more approximate data, such as images and videos that are more error tolerant than regular data like ...

research-article
HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction

Coarse-grained reconfigurable array (CGRA) has emerged as a promising hardware accelerator due to the excellent balance between reconfigurability, performance, and energy efficiency. The performance of a CGRA strongly depends on the existence of a high-...

research-article
Planting Fast-Growing Forest by Leveraging the Asymmetric Read/Write Latency of NVRAM-Based Systems

Owing to the considerations of cell density and low static power consumption, nonvolatile random-access memory (NVRAM) has been a promising candidate for collaborating with a dynamic random-access memory (DRAM) as the main memory in modern computer ...

research-article
Automatic Construction of Predictable and High-Performance Cache Coherence Protocols for Multicore Real-Time Systems

Predictable hardware cache coherence is a viable shared data communication mechanism between cores for multicore real-time platforms. Prior works have established that predictable hardware cache coherence protocols offer significant performance advantages ...

research-article
Worst Case <italic>O(N)</italic> Comparison-Free Hardware Sorting Engine

This article proposes a novel comparison-free hardware sorting engine that sorts <inline-formula> <tex-math notation="LaTeX">$N$ </tex-math></inline-formula> unique <inline-formula> <tex-math notation="LaTeX">$n$ </tex-math></inline-formula>-bit elements (...

research-article
QuCTS&#x2014;Single-Flux Quantum Clock Tree Synthesis

Superconductive rapid single-flux quantum (RSFQ) is an emerging cryogenic technology, promising a significant boost in performance and ultralow power consumption. The operating frequency achieved by RSFQ digital integrated circuits is several orders of ...

research-article
Silicon Photonic Microring Resonators: A Comprehensive Design-Space Exploration and Optimization Under Fabrication-Process Variations

Silicon photonic microring resonators (MRRs) offer many advantages (e.g., compactness) and are often considered as the fundamental building block in optical interconnects and emerging photonic nanoprocessors and accelerators. Such devices are, however, ...

research-article
Mapping Nearest Neighbor Compliant Quantum Circuits Onto a 2-D Hexagonal Architecture

Quantum algorithms can be described as quantum circuits and are supposed to be carried out on an ideal quantum device that is far from current ones. The current quantum devices have a significant limitation on the connectivity between quantum bits. In ...

research-article
Specializing CGRAs for Light-Weight Convolutional Neural Networks

Deep neural network (DNN) processing units, or DPUs, are one of the most energy-efficient platforms for DNN applications. However, designing new DPUs for every DNN model is very costly and time consuming. In this article, we propose an alternative ...

research-article
HyCA: A Hybrid Computing Architecture for Fault-Tolerant Deep Learning

Hardware faults on the regular 2-D computing array of a typical deep learning accelerator (DLA) can lead to dramatic prediction accuracy loss. Prior redundancy design approaches typically have each homogeneous redundant processing element (PE) to mitigate ...

research-article
Improving Fault Tolerance for Reliable DNN Using Boundary-Aware Activation

In this article, we approach to construct reliable deep neural networks (DNNs) for safety-critical artificial intelligent applications. We propose to modify rectified linear unit (ReLU), a commonly used activation function in DNNs, to tolerate the faults ...

research-article
DeepNVM&#x002B;&#x002B;: Cross-Layer Modeling and Optimization Framework of Nonvolatile Memories for Deep Learning

Nonvolatile memory (NVM) technologies, such as spin-transfer torque magnetic random access memory (STT-MRAM) and spin-orbit torque magnetic random access memory (SOT-MRAM), have significant advantages compared to conventional SRAM due to their ...

research-article
Achievable-Rate-Aware Retention-Error Correction for Multi-Level-Cell NAND Flash Memory

Owing to the effect of data retention noise in multi-level-cell NAND flash memory, the initial threshold-voltage distributions and read voltages can no longer be used to accurately calculate log-likelihood ratios (LLRs) as the retention time increases, ...

research-article
General Approach to Asynchronous Circuits Simulation Using Synchronous FPGAs

Using field-programmable gate arrays (FPGAs) for software and hardware verification and development is a standard step in the digital application-specific integrated circuits (ASICs) design flow. However, asynchronous FPGAs are not available on the market ...

research-article
A Provably Good and Practically Efficient Algorithm for Common Path Pessimism Removal in Large Designs

Common path pessimism removal (CPPR) is imperative for eliminating redundant pessimism during static timing analysis (STA). However, turning on CPPR can significantly increase the analysis runtime by <inline-formula> <tex-math notation="LaTeX">$10\times $ ...

research-article
Space-Time-Efficient Modeling of Large-Scale 3-D Cross-Point Memory Arrays by Operation Adaption and Network Compaction

Three-dimensional (3-D) integrated cross-point memory arrays can be used to build high-density storage-class memory systems. However, the coupled network topology caused by sharing word lines or bit lines between adjacent memory layers significantly ...

research-article
GoodFloorplan: Graph Convolutional Network and Reinforcement Learning-Based Floorplanning

Electronic design automation (EDA) comprises a series of computationally difficult optimization problems that require substantial specialized knowledge as well as a considerable amount of trial-and-error efforts. However, open challenges, including long ...

research-article
Design Rule Violation Prediction at Sub-10-nm Process Nodes Using Customized Convolutional Networks

As the semiconductor process technology advances into sub-10-nm regime, cell pin accessibility, which is a complex joint effect from the pin shape and nearby blockages, becomes a main cause for design rule violations (DRVs). Therefore, a machine-learning ...

research-article
OpeNPDN: A Neural-Network-Based Framework for Power Delivery Network Synthesis

Power delivery network (PDN) design is a nontrivial, time-intensive, and iterative task. Correct PDN design must consider power bumps, currents, blockages, and signal congestion distribution patterns. This work proposes a machine learning-based ...

research-article
Timing-Aware Fill Insertions With Design-Rule and Density Constraints

Metal fill insertion has become an essential step in reducing dielectric thickness variation and improving pattern uniformity, which is important in mitigating process variations, thereby achieving better manufacturing yield. However, metal fills could ...

research-article
Experimental Verification and Analysis of the Acceleration Factor Model for 3-D nand Flash Memory

With the increasing density of flash memory, its service life is declining. As the most important technical index of a flash device, flash retention parameters often need to consume a substantial amount of time to be tested. With a view toward reducing ...

Comments