Export Citations
Save this search
Please login to be able to save your searches and receive alerts for new content matching your search criteria.
- research-articleNovember 2012
High-performance, low-power resonant clocking
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 742–745https://doi.org/10.1145/2429384.2429545Clock distribution networks consume a significant portion of on-chip power. Traditional buffered clock distribution power is limited by frequency, capacitance, and activity rates. Resonant clock distributions can reduce this power by "recycling" energy ...
- research-articleNovember 2012
Modeling and synthesis of quality-energy optimal approximate adders
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 728–735https://doi.org/10.1145/2429384.2429542Recent interest in approximate computation is driven by its potential to achieve large energy savings. This paper formally demonstrates an optimal way to reduce energy via voltage over-scaling at the cost of errors due to timing starvation in addition. ...
- research-articleNovember 2012
A thermal and process variation aware MTJ switching model and its applications in soft error analysis
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 720–727https://doi.org/10.1145/2429384.2429541Spin-transfer torque random access memory (STT-RAM) has recently gained increased attentions from circuit design and architecture societies. Although STT-RAM offers a good combination of small cell size, nanosecond access time and non-volatility for ...
- research-articleNovember 2012
A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 713–719https://doi.org/10.1145/2429384.2429539Considering routability issue in the early stages of VLSI design flow can avoid generating an unroutable design. Several recent routablity-driven placers [8--11] adopt a built-in global router to estimate routing congestion. While the routability of the ...
- research-articleNovember 2012
Multiobjective optimization of deadspace, a critical resource for 3D-IC integration
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 705–712https://doi.org/10.1145/2429384.2429538In 3D-IC integration and its implied resource optimization, a particularly critical resource is deadspace --- regions between floorplan blocks. Deadspace is required for through-silicon via (TSV) planning and other related design tasks, but the ...
-
- research-articleNovember 2012
Fast approximation for peak power driven voltage partitioning in almost linear time
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 698–704https://doi.org/10.1145/2429384.2429537Voltage partitioning on functional units/blocks targeting peak power minimization has been demonstrated to be effective for energy reduction considering voltage island shutdown impact. However, the existing technique can only solve this NP-hard problem ...
- research-articleNovember 2012
Clock mesh synthesis with gated local trees and activity driven register clustering
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 691–697https://doi.org/10.1145/2429384.2429536A clock mesh network synthesis method is proposed which enables clock gating on the local sub-trees in order to reduce the clock power dissipation. Clock gating is performed with a register clustering strategy that considers both i) the similarity of ...
- research-articleNovember 2012
Mobile devices user: the subscriber and also the publisher of real-time OLED display power management plan
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 687–690https://doi.org/10.1145/2429384.2429534OLED (Organic Light Emitting Diode) technology has already been adopted in many modern smart mobile devices, including cellphones, tablets, laptop etc. However, the power dissipation of displays in some applications like real-time video streaming, ...
- research-articleNovember 2012
Battery cell configuration for organic light emitting diode display in modern smartphones and tablet-PCs
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 679–686https://doi.org/10.1145/2429384.2429533A modern smartphone or tablet-PC is typically equipped a high-resolution and large-size display, which is a primary power consumer. In spite of the relatively high power efficiency of organic light emitting diode (OLED) displays, the integrated display ...
- research-articleNovember 2012
Transistor technologies and pixel circuit design for efficient active-matrix organic light-emitting diode displays
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPage 678https://doi.org/10.1145/2429384.2429532Following the advent of the digital multi-media era and the popularity of the internet, high-resolution flat-panel displays (FPDs) are becoming the central feature of many consumer products, from camcorders, mobile/smart phones, to notebook PCs. The FPD ...
- research-articleNovember 2012
Overview of vectorless/early power grid verification
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 670–677https://doi.org/10.1145/2429384.2429530The power distribution network of an integrated circuit must be checked throughout the design process to ensure that supply voltage fluctuations do not exceed certain critical thresholds. One way of doing this is by simulation, which requires knowledge ...
- research-articleNovember 2012
Power grid effects and their impact on-die
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 667–669https://doi.org/10.1145/2429384.2429529This paper is intended to give a brief tutorial understanding of on-die power grid effects. Board, package and on-die power grids deliver power to a die having both global (full-die) as well as local (intra-die) transient effects. With a simple ...
- research-articleNovember 2012
Design analysis of IC power delivery
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 664–666https://doi.org/10.1145/2429384.2429528Power delivery design plays a critical role in ensuring power delivery integrity and achieving overall design power efficiency. A power delivery network (PDN) consists of a multiplicity of passive and active components, which interact with each other in ...
- research-articleNovember 2012
PGT_SOLVER: an efficient solver for power grid transient analysis
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 647–652https://doi.org/10.1145/2429384.2429524In this paper, we propose PGT_SOLVER - an effecient solver for power grid transient analysis. It is based on direct solver. The conductance matrix is SPD and is generated by modifying the matrix for DC analysis. Modified sparse vector technique is ...
- research-articleNovember 2012
Efficient parametric yield estimation of analog/mixed-signal circuits via Bayesian model fusion
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 627–634https://doi.org/10.1145/2429384.2429519Parametric yield estimation is one of the most critical-yet-challenging tasks for designing and verifying nanoscale analog and mixed-signal circuits. In this paper, we propose a novel Bayesian model fusion (BMF) technique for efficient parametric yield ...
- research-articleNovember 2012
Configurable analog routing methodology via technology and design constraint unification
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 620–626https://doi.org/10.1145/2429384.2429517In this paper, we present a novel configurable analog routing methodology for more efficient analog layout automation. By the help of OpenAccess constraint group format, the technology process rules and analog layout design intention/constraints are ...
- research-articleNovember 2012
Performance-driven analog placement considering monotonic current paths
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 613–619https://doi.org/10.1145/2429384.2429516Although modern analog placement algorithms aimed to minimize area and wirelength while satisfying symmetry, proximity, and other placement constraints, the generated layout does not reflect the circuit performance very well because of the routing-...
- research-articleNovember 2012
Lazy man's logic synthesis
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 597–604https://doi.org/10.1145/2429384.2429513Deriving a circuit for a Boolean function or improving an available circuit are typical tasks solved by logic synthesis. Numerous algorithms in this area have been proposed and implemented over the last 50 years. This paper presents a "lazy" approach to ...
- research-articleNovember 2012
On logic synthesis for timing speculation
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 591–596https://doi.org/10.1145/2429384.2429512By allowing the occurrence of infrequent timing errors and correcting them with rollback mechanisms, the so-called timing speculation (TS) technique can significantly improve circuit energy-efficiency and hence has become one of the most promising ...
- research-articleNovember 2012
Simultaneous information flow security and circuit redundancy in Boolean gates
ICCAD '12: Proceedings of the International Conference on Computer-Aided DesignPages 585–590https://doi.org/10.1145/2429384.2429511High assurance systems require strict guarantees on information flow security and fault tolerance or else face catastrophic consequences. Recently, Gate Level Information Flow Tracking (GLIFT) has been proposed to monitor information flows at the level ...