Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1269843.1269854acmconferencesArticle/Chapter ViewAbstractPublication PagesscopesConference Proceedingsconference-collections
Article

Efficient event-driven simulation of parallel processor architectures

Published: 20 April 2007 Publication History

Abstract

In this paper we present a new approach for generating high-speed optimized event-driven instruction set level simulators for adaptive massively parallel processor architectures. The simulator generator is part of a methodology for the systematic mapping, evaluation, and exploration of massively parallel processor architectures that are designed for special purpose applications in the world of embedded computers. The generation of high-speed cycle-accurate simulators is of utmost importance here, because they are directly used both for parallel processor architecture debugging and evaluation purposes, as well as during time-consuming architecture/compiler co-exploration. We developed a modeling environment which automatically generates a C++ simulation model either from a graphical input or directly from an XML-based architecture description. Here, we focus on the underlying event-driven simulation model and present our modeling environment, in particular the features of the graphical parallel processor architecture editor and the automatic instruction set level simulator generator. Finally, in a case-study, we demonstrate the pertinence of our approach by simulating different processor arrays. The superior performance of the generated simulators compared to existing simulators and simulator generation approaches is shown.

References

[1]
F. Angiolini, J. Ceng, R. Leupers, F. Ferrari, C. Ferri, and L. Benini. An Integrated Open Framework for Heterogeneous MPSoC Design Space Exploration. In Proceedings of Design, Automation and Test in Europe (DATE), Munich, Germany, Mar. 2006.
[2]
L. Bening. A Two-State Methodology for RTL Logic Simulation. In Proceedings of the 36th Design Automation Conference (DAC), pages 672--677, 1999.
[3]
L. Benini, D. Bertozzi, A. Bogliolo, F. Menichelli, and M. Olivieri. MPARM: Exploring the Multi-Processor SoC Design Space with SystemC. Journal of VLSI Signal Processing, 41:169--182, Sept. 2005.
[4]
L. Benini, D. Bertozzi, D. Bruni, N. Drago, F. Fummi, and M. Poncino. SystemC Cosimulation and Emulation of Multiprocessor SoC Designs. COMPUTER, 36(4):53--59, Apr. 2003.
[5]
P. Clark. ARC Adopts Clustered Parallelism in Media Multiprocessing. EE Times Online: Design News, Oct. 2006.
[6]
CoWare Inc., CoWare Platform Architect, SystemC Platform Capture and Architecture Analysis for Platform-Driven ESL Design. www.coware.com.
[7]
CoWare Inc., LISATek. www. coware. com/products/.
[8]
T. Granlund. The GNU Multiple Precision Library, Edition 2.0.2. Technical report, TMG Datakonsult, Sodermannagatan 5, 11623 Stockholm, Sweden, 1996.
[9]
F. Hannig, H. Dutta, A. Kupriyanov, J. Teich, R. Schaffer, S. Siegel, R. Merker, R. Keryell, B. Pottier, D. Chillet, D. Ménard, and O. Sentieys. Co-Design of Massively Parallel Embedded Processor Architectures. In Proceedings of the first International Workshop on Reconfigurable Communication Centric System-on-Chips (ReCoSoC), Montpellier, France, June 2005.
[10]
D. Kissler, F. Hannig, A. Kupriyanov, and J. Teich. A Dynamically Reconfigurable Weakly Programmable Processor Array Architecture Template. In Proceedings of the 2nd International Workshop on Reconfigurable Communication Centric System-on-Chips (ReCoSoC), Montpellier, France, July 2006.
[11]
A. Kölbl, J. Kukula, and R. Damiano. Symbolic RTL Simulation. In Proceedings of the 38th Design Automation Conference (DAC), pages 47--52, Las Vegas, NV, USA, June 2001.
[12]
A. Krall, S. Farfeleder, and N. Horspool. Ultra Fast Cycle-Accurate Compiled Emulation of Inorder Pipelined Architectures. In T. D. Hömäläinen, A. D. Pimentel, J. Takala, and S. Vassiliadis, editors, Proceedings of the 5th International Samos Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS), LNCS 3553, pages 222--231, Island of Samos, Greece, July 2005.
[13]
S. Künzli, F. Poletti, L. Benini, and L. Thiele. Combining Simulation and Formal Methods for System-Level Performance Analysis. In Proceedings of Design, Automation and Test in Europe (DATE), Munich, Germany, Mar. 2006.
[14]
A. Kupriyanov, F. Hannig, D. Kissler, J. Teich, R. Schaffer, and R. Merker. An Architecture Description Language for Massively Parallel Processor Architectures. In GIITGGMM-Workshop -- Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, pages 11--20, Dresden, Germany, Feb. 2006.
[15]
A. Kupriyanov, F. Hannig, and J. Teich. Automatic and Optimized Generation of Compiled High-Speed RTL Simulators. In Proceedings of Workshop on Compilers and Tools for Constrained Embedded Systems (CTCES), Washington, DC, USA, Sept. 2004.
[16]
A. Kupriyanov, F. Hannig, and J. Teich. High-Speed Event-Driven RTL Compiled Simulation. In A. Pimentel and S. Vassiliadis, editors, Proceedings of the 4th International Samos Workshop on Systems, Architectures, Modeling, and Simulation (SAMOS), volume 3133 of Lecture Notes in Computer Science (LNCS), pages 519--529, Island of Samos, Greece, July 2004.
[17]
Mentor Graphics. Modelsim. www.model.com.
[18]
A. Nohl, G. Braun, O. Schliebusch, R. Leupers, H. Meyr, and A. Hoffmann. A Universal Technique for Fast and Flexible Instruction-Set Architecture Simulation. In Proceedings of the 39th Design Automation Conference (DAC 2002), pages 22--27, New Orleans, LA, USA, June 2002.
[19]
S. Pees, A. Hoffmann, and H. Meyr. Retargeting of Compiled Simulators for Digital Signal Processors Using a Machine Description Language. In Proceedings of Design, Automation and Test in Europe (DATE), Paris, Mar. 2000.
[20]
L. Shen. RTL Concurrent Fault Simulation. In Proceedings of the 12th Asian Test Symposium (ATS), page 502, Xian, China, Nov. 2003.
[21]
L. Wang, N. Hoover, E. Porter, and J. Zasio. SSIM: A Software Levelized Compiled-Code Simulator. In Proceedings of the 24th ACM/IEEE Conference on Design Automation, pages 2--8, Miami Beach, FL, USA, 1987.

Cited By

View all
  • (2020)Tango: An Optimizing Compiler for Just-In-Time RTL Simulation2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116253(157-162)Online publication date: Mar-2020
  • (2018)A holistic approach for tightly coupled reconfigurable parallel processorsMicroprocessors & Microsystems10.1016/j.micpro.2008.08.00733:1(53-62)Online publication date: 28-Dec-2018
  • (2016)Self-adaptive Power and Energy Management for TCPAsInvasive Tightly Coupled Processor Arrays10.1007/978-981-10-1058-3_3(83-113)Online publication date: 9-Jul-2016
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
SCOPES '07: Proceedingsof the 10th international workshop on Software & compilers for embedded systems
April 2007
127 pages
ISBN:9781450378345
DOI:10.1145/1269843
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 20 April 2007

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. embedded tools
  2. modeling
  3. processor arrays
  4. simulation

Qualifiers

  • Article

Acceptance Rates

Overall Acceptance Rate 38 of 79 submissions, 48%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)2
Reflects downloads up to 03 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2020)Tango: An Optimizing Compiler for Just-In-Time RTL Simulation2020 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE48585.2020.9116253(157-162)Online publication date: Mar-2020
  • (2018)A holistic approach for tightly coupled reconfigurable parallel processorsMicroprocessors & Microsystems10.1016/j.micpro.2008.08.00733:1(53-62)Online publication date: 28-Dec-2018
  • (2016)Self-adaptive Power and Energy Management for TCPAsInvasive Tightly Coupled Processor Arrays10.1007/978-981-10-1058-3_3(83-113)Online publication date: 9-Jul-2016
  • (2016)Invasive Tightly Coupled Processor ArraysInvasive Tightly Coupled Processor Arrays10.1007/978-981-10-1058-3_2(21-81)Online publication date: 9-Jul-2016
  • (2013)Hierarchical power management for adaptive tightly-coupled processor arraysACM Transactions on Design Automation of Electronic Systems (TODAES)10.1145/2390191.239019318:1(1-25)Online publication date: 16-Jan-2013
  • (2012)Invasive Computing for robotic vision17th Asia and South Pacific Design Automation Conference10.1109/ASPDAC.2012.6164946(207-212)Online publication date: Jan-2012
  • (2011)Hierarchy Modeling and Co-simulation of a Dynamically Coarse-Grained Reconfigurable ArchitectureInformatics in Control, Automation and Robotics10.1007/978-3-642-25992-0_80(589-598)Online publication date: 2011
  • (2009)System Integration of Tightly-Coupled Reconfigurable Processor Arrays and Evaluation of Buffer Size Effects on Their PerformanceProceedings of the 2009 International Conference on Parallel Processing Workshops10.1109/ICPPW.2009.72(528-534)Online publication date: 22-Sep-2009
  • (2008)Modelling and exploration of a reconfigurable array using systemC TLM2008 IEEE International Symposium on Parallel and Distributed Processing10.1109/IPDPS.2008.4536521(1-8)Online publication date: Apr-2008

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media