Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1450135.1450183acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Distributed flit-buffer flow control for networks-on-chip

Published: 19 October 2008 Publication History

Abstract

The combination of flit-buffer flow control methods and latency-insensitive protocols is an effective solution for networks-on-chip (NoC). Since they both rely on backpressure, the two techniques are easy to combine while offering complementary advantages: low complexity of router design and the ability to cope with long communication channels via automatic wire pipelining. We study various alternative implementations of this idea by considering the combination of three different types of flit-buffer flow control methods and two different classes of channel repeaters (based respectively on flip-flops and relay stations). We characterize the area and performance of the two most promising alternative implementations for NoCs by completing the RTL design and logic synthesis of the repeaters and routers for different channel parallelisms. Finally, we derive high-level abstractions of our circuit designs and we use them to perform system-level simulations under various scenarios for two distinct NoC topologies and various applications. Based on our comparative analysis and experimental results, we propose a NoC design approach that combines the reduction of the router queues to a minimum size with the distribution of flit buffering onto the channels. This approach provides precious flexibility during the physical design phase for many NoCs, particularly in those systems-on-chip that must be designed to meet a tight constraint on the target clock frequency.

References

[1]
L. Benini and G. D. Micheli. Networks on chip: A new SoC paradigm. IEEE Computer, 49(2/3):70--71, Jan. 2002.
[2]
D. Bertozzi et al. NoC synthesis flow for customized domain specific multiprocessor systems-on-chip. IEEE Trans. on Parallel and Distributed Systems, 16(2):113--129, Feb. 2005.
[3]
L. P. Carloni et al. A methodology for "correct-by-construction" latency insensitive design. In Proc. Intl. Conf. on Computer-Aided Design, pages 309--315, 1999.
[4]
L. P. Carloni and A. L. Sangiovanni-Vincentelli. Coping with latency in SoC design. IEEE Micro, 22(5):24--35, Sept./Oct. 2002.
[5]
V. Chandra, A. Xu, H. Schmit, and L. Pileggi. An interconnect channel design methodology for high performance integrated circuits. In Conf. on Design, Automation and Test in Europe, pages 21138--21143, 2004.
[6]
P. Cocchini. Concurrent flip-flop and repeater insertion for high-performance integrated circuits. In Proc. Intl. Conf. on Computer-Aided Design, pages 268--273, 2002.
[7]
M. Coppola et al. Spidergon: A NoC modeling paradigm. In Proc. 2004 International Symposium on System-on-Chip, page 15, Nov. 2004.
[8]
W. J. Dally and B. Towles. Route packets, not wires: On-chip interconnection networks. In Proc. of the Design Automation Conference, pages 684--689, June 2001.
[9]
W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers, San Mateo, CA, 2004.
[10]
A. Hemani et al. Network on chip: An architecture for billion transistor era. In 18th IEEE NorChip Conference, Nov. 2000.
[11]
R. Ho, K. W. Mai, and M. A. Horowitz. The future of wires. Proceedings of the IEEE, 89(4):490--504, Apr. 2001.
[12]
J. Hu, U. Ogras, and R. Marculescu. System-level buffer allocation for application-specific networks-on-chip router design. IEEE Trans. on Computers, 25(12):2919--2933, Dec. 2006.
[13]
A. Jalabert, L. Benini, S. Murali, and G. D. Micheli. xpipesCompiler: a tool for instantiating application-specific NoCs. In Conf. on Design, Automation and Test in Europe, Feb. 2004.
[14]
X. Liu, Y. Peng, and M. C. Papaefthymiou. Practical repeater insertion for low power: what repeater library do we need? In Proc. of the Design Automation Conference, pages 30--35, 2004.
[15]
R. Lu et al. Flip-flop and repeater insertion for early interconnect planning. In Conf. on Design, Automation and Test in Europe, 2002.
[16]
R. Lu and C. Koh. Performance optimization of latency insensitive systems through buffer queue sizing of communication channels. In Proc. Intl. Conf. on Computer-Aided Design, page 227, 2003.
[17]
U. Y. Ogras and R. Marculescu. It's a small world after all: Noc performance optimization via long-range link insertion. IEEE Trans. on Very Large Scale Integration (VLSI) Systems, 14(7):693--706, July 2006.
[18]
OMNeT++ discrete event simulation system. available online at http://www.omnetpp.org/.
[19]
A. Pullini, F. Angiolini, D. Bertozzi, and L. Benini. Fault tolerance overhead in network-on-chip flow control schemes. In Proceedings of SBCCI, pages 224--229, 2005.
[20]
A. Pullini et al. Bringing NoCs to 65nm. IEEE Micro, 27(5):75--85, 2007.
[21]
L. Scheffer. Methodologies and tools for pipelined on-chip interconnect. In Proc. Intl. Conf. on Computer Design, pages 152--157, Oct. 2002.

Cited By

View all
  • (2020)Extracting method of packet dependence from NoC simulation traces using association rule miningAnalog Integrated Circuits and Signal Processing10.1007/s10470-020-01645-6Online publication date: 23-Apr-2020
  • (2019)Design of Distributed Storage Multi-core System Based on NoC Architecture2019 3rd International Conference on Electronic Information Technology and Computer Engineering (EITCE)10.1109/EITCE47263.2019.9095128(905-908)Online publication date: Oct-2019
  • (2018)Extracting Packet Dependence from NoC Simulation Traces Using Association Rule Mining2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI)10.1109/SBCCI.2018.8533244(1-6)Online publication date: Aug-2018
  • Show More Cited By

Index Terms

  1. Distributed flit-buffer flow control for networks-on-chip

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    CODES+ISSS '08: Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis
    October 2008
    288 pages
    ISBN:9781605584706
    DOI:10.1145/1450135
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 19 October 2008

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. latency-insensitive protocols
    2. network-on-chip

    Qualifiers

    • Research-article

    Conference

    ESWEEK 08
    ESWEEK 08: Fourth Embedded Systems Week
    October 19 - 24, 2008
    GA, Atlanta, USA

    Acceptance Rates

    CODES+ISSS '08 Paper Acceptance Rate 44 of 143 submissions, 31%;
    Overall Acceptance Rate 280 of 864 submissions, 32%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)12
    • Downloads (Last 6 weeks)2
    Reflects downloads up to 18 Feb 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2020)Extracting method of packet dependence from NoC simulation traces using association rule miningAnalog Integrated Circuits and Signal Processing10.1007/s10470-020-01645-6Online publication date: 23-Apr-2020
    • (2019)Design of Distributed Storage Multi-core System Based on NoC Architecture2019 3rd International Conference on Electronic Information Technology and Computer Engineering (EITCE)10.1109/EITCE47263.2019.9095128(905-908)Online publication date: Oct-2019
    • (2018)Extracting Packet Dependence from NoC Simulation Traces Using Association Rule Mining2018 31st Symposium on Integrated Circuits and Systems Design (SBCCI)10.1109/SBCCI.2018.8533244(1-6)Online publication date: Aug-2018
    • (2015)ElastiStore: Flexible Elastic Buffering for Virtual-Channel-Based Networks on ChipIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2014.238344223:12(3015-3028)Online publication date: Dec-2015
    • (2015)From Latency-Insensitive Design to Communication-Based System-Level DesignProceedings of the IEEE10.1109/JPROC.2015.2480849103:11(2133-2151)Online publication date: Nov-2015
    • (2014)Link-Level Flow Control and BufferingMicroarchitecture of Network-on-Chip Routers10.1007/978-1-4614-4301-8_2(11-35)Online publication date: 16-Jul-2014
    • (2013)Elastic Buffer Flow Control for On-Chip NetworksIEEE Transactions on Computers10.1109/TC.2011.23762:2(295-309)Online publication date: 1-Feb-2013
    • (2013)Silicon-aware distributed switch architecture for on-chip networksJournal of Systems Architecture: the EUROMICRO Journal10.1016/j.sysarc.2013.03.00859:7(505-515)Online publication date: 1-Aug-2013
    • (2012)A Switched-Inductor Integrated Voltage Regulator With Nonlinear Feedback and Network-on-Chip Load in 45 nm SOIIEEE Journal of Solid-State Circuits10.1109/JSSC.2012.219631647:8(1935-1945)Online publication date: Aug-2012
    • (2011)A Distributed Switch Architecture for On-Chip NetworksProceedings of the 2011 International Conference on Parallel Processing10.1109/ICPP.2011.28(21-30)Online publication date: 13-Sep-2011
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media