Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

The First 25 Years of the FPL Conference: Significant Papers

Published: 22 March 2017 Publication History
  • Get Citation Alerts
  • Abstract

    A summary of contributions made by significant papers from the first 25 years of the Field-Programmable Logic and Applications conference (FPL) is presented. The 27 papers chosen represent those which have most strongly influenced theory and practice in the field.

    References

    [1]
    M. S. Abdelfattah and V. Betz. 2013. The power of communication: Energy-efficient NoCs for FPGAs. In 2013 23rd International Conference on Field Programmable Logic and Applications (FPL’13). IEEE, 1--8.
    [2]
    M. S. Abdelfattah, A. Bitar, and V. Betz. 2015. Take the highway: Design for embedded NoCs on FPGAs. In Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA’15). ACM, New York, NY, 98--107.
    [3]
    Altera. 2007. Stratix III Programmable Power. Technical Report WP-01006-1.1. San Jose, CA. https://www.altera.com/en_US/pdfs/literature/wp/wp-01006.pdf.
    [4]
    Altera. 2010. Increasing Design Functionality with Partial and Dynamic Reconfiguration in 28-nm FPGAs. Technical Report WP-01137-1.0. https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/ literature/wp/wp-01137-stxv-dynamic-partial-reconfig.pdf.
    [5]
    J. H. Anderson and F. N. Najm. 2006. Active leakage power optimization for FPGAs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25, 3 (March 2006), 423--437.
    [6]
    S. Asano, T. Maruyama, and Y. Yamaguchi. 2009. Performance comparison of FPGA, GPU and CPU in image processing. In International Conference on Field Programmable Logic and Applications, 2009 (FPL’09). IEEE, 126--131.
    [7]
    J. Auerbach, D. F. Bacon, P. Cheng, and R. Rabbah. 2010. Lime: A Java-compatible and synthesizable language for heterogeneous architectures. In Proceedings of the ACM International Conference on Object Oriented Programming Systems Languages and Applications (OOPSLA’10). ACM, New York, NY, 89--108.
    [8]
    B. Baldwin, A. Byrne, L. Lu, M. Hamilton, N. Hanley, M. ONeill, and W. P. Marnane. 2010. FPGA implementations of the round two SHA-3 candidates. In 2010 International Conference on Field Programmable Logic and Applications (FPL’10). IEEE, 400--407.
    [9]
    V. Baumgarte, G. Ehlers, F. May, A. Nückel, M. Vorbach, and M. Weinhardt. 2003. PACT XPPA self-reconfigurable data processing architecture. Journal of Supercomputing 26, 2 (2003), 167--184.
    [10]
    C. Beckhoff, D. Koch, and J. Torresen. 2012. Go ahead: A partial reconfiguration framework. In Proceedings of the 20th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM’12). 37--44.
    [11]
    V. Betz and J. Rose. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Field-Programmable Logic and Applications, W. Luk, P. Y. K. Cheung, and M. Glesner (Eds.). Lecture Notes in Computer Science, Vol. 1304. Springer, Berlin, 213--222.
    [12]
    A. Bitar, M. Abdelfattah, and V. Betz. 2015. Bringing programmability to the data plane: Packet processing with a NoC-enhanced FPGA. In 2015 International Conference on Field-Programmable Technology (FPT’15).
    [13]
    A. Bitar, J. Cassidy, N. E. Jerger, and V. Betz. 2014. Efficient and programmable ethernet switching with a NoC-enhanced FPGA. In Proceedings of the 10th ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS’14). ACM, New York, NY, 89--100.
    [14]
    C. Bobda, A. Ahmadinia, M. Majer, J. Teich, S. Fekete, and J. Veen. 2005. Dynoc: A dynamic infrastructure for communication in dynamically reconfugurable devices. In Proceedings of the International Field Programmable Logic and Applications Conference. 153--158.
    [15]
    G. Brebner. 1996. A virtual hardware operating system for the Xilinx XC6200. In Field-Programmable Logic Smart Applications, New Paradigms and Compilers, R.W. Hartenstein and M. Glesner (Eds.). Lecture Notes in Computer Science, Vol. 1142. Springer, Berlin, 327--336.
    [16]
    B.C. Brodie, R. K. Cytron, and D. E. Taylor. 2006. A scalable architecture for high-throughput regular-expression pattern matching. In 33rd International Symposium on Computer Architecture, 2006 (ISCA’06). 191--202.
    [17]
    M. Butts, A. M. Jones, and P. Wasson. 2007. A structural object programming model, architecture, chip and tools for reconfigurable computing. In Proceedings of the IEEE Workshop on FPGAs for Custom Computing Machines. 55--64.
    [18]
    E. Caspi, M. Chu, R. Huang, J. Yeh, J. Wawrzynek, and A. DeHon. 2000. Stream computations organized for reconfigurable execution (SCORE). In Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing, Reiner Hartenstein and Herbert Grünbacher (Eds.). Lecture Notes in Computer Science, Vol. 1896. Springer, Berlin, 605--614.
    [19]
    C. R. Clark and D. E. Schimmel. 2004a. Scalable pattern matching for high speed networks. In 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2004 (FCCM’04). 249--257.
    [20]
    C. R. Clark and D. E. Schimmel. 2004b. Scalable pattern matching for high speed networks. In Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM’04). IEEE Computer Society, 249--257. http://dl.acm.org/citation.cfm?id=1025123.1025834.
    [21]
    A. DeHon, Y. Markovsky, E. Caspi, M. Chu, R. Huang, S. Perissakis, L. Pozzi, J. Yeh, and J. Wawrzynek. 2006. Stream computations organized for reconfigurable execution. Journal of Microprocessors and Microsystems 30, 6 (September 2006), 334--354.
    [22]
    P. D. Düben and T. N. Palmer. 2014. Benchmark tests for numerical weather forecasts on inexact hardware. Monthly Weather Review 142, 10 (2014), 3809--3829.
    [23]
    C. Ebeling, D. C. Cronquist, and P. Franklin. 1996. RaPiD reconfigurable pipelined datapath. In Field-Programmable Logic Smart Applications, New Paradigms and Compilers, Reiner Hartenstein and Manfred Glesner (Eds.). Lecture Notes in Computer Science, Vol. 1142. Springer, Berlin, 126--135.
    [24]
    R. Enzler, C. Plessl, and M. Platzner. 2003. Virtualizing hardware with multi-context reconfigurable arrays. In Field Programmable Logic and Applications, Peter Y. K. Cheung and George A. Constantinides (Eds.). Lecture Notes in Computer Science, Vol. 2778. Springer, Berlin, 151--160.
    [25]
    C. Galuzzi and K. Bertels. 2011. The instruction-set extension problem: A survey. ACM Transactions on Reconfigurable Technology Systems 4, 2, Article 18 (May 2011), 28 pages.
    [26]
    L. Gan, H. Fu, W. Luk, C. Yang, W. Xue, X. Huang, Y. Zhang, and G. Yang. 2013. Accelerating solvers for global atmospheric equations through mixed-precision data flow engine. In 2013 23rd International Conference on Field Programmable Logic and Applications (FPL’13). IEEE, 1--6.
    [27]
    A. Gayasen, K. Lee, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and T. Tuan. 2004. A dual-VDD low power FPGA architecture. In Field Programmable Logic and Applications, Jürgen Becker, Marco Platzner, and Serge Vernalde (Eds.). Lecture Notes in Computer Science, Vol. 3203. Springer, Berlin, 145--157.
    [28]
    R. Glein, B. Schmidt, F. Rittner, J. Teich, and D. Ziener. 2014. A self-adaptive SEU mitigation system for FPGAs with an internal block RAM radiation particle sensor. In 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM’14). 251--258.
    [29]
    M. Gokhale, D. Dubois, A. Dubois, M. Boorman, S. Poole, and V. Hogsett. 2002. Granidt: Towards gigabit rate network intrusion detection technology. In Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream, Manfred Glesner, Peter Zipf, and Michel Renovell (Eds.). Lecture Notes in Computer Science, Vol. 2438. Springer, Berlin, 404--413.
    [30]
    M. B. Gokhale and P. S. Graham. 2006. Reconfigurable Computing: Accelerating Computation with Field-Programmable Gate Arrays. Springer Science 8 Business Media.
    [31]
    J. Guajardo, S. S. Kumar, G.-J. Schrijen, and P. Tuyls. 2007. Physical unclonable functions and public-key crypto for FPGA IP protection. In International Conference on Field Programmable Logic and Applications, 2007 (FPL’07). IEEE, 189--195.
    [32]
    S. D. Haynes, P. Y. K. Cheung, W. Luk, and J. Stone. 1999. SONIC -- A plug-in architecture for video processing. In Field Programmable Logic and Applications, Patrick Lysaght, James Irvine, and Reiner Hartenstein (Eds.). Lecture Notes in Computer Science, Vol. 1673. Springer, Berlin, 21--30.
    [33]
    S. D. Haynes, H. G. Epsom, R. J. Cooper, and P. L. McAlpine. 2002. UltraSONIC: A reconfigurable architecture for video image processing. In Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream. Springer, 482--491.
    [34]
    J. Heiner, B. Sellers, M. Wirthlin, and J. Kalb. 2009. FPGA partial reconfiguration via configuration scrubbing. In International Conference on Field Programmable Logic and Applications, 2009 (FPL’09). IEEE, 99--104.
    [35]
    M. Hutton. 2015. Architectural paths to faster and more robust FPGAs (keynote). In 2015 International Conference on Field-Programmable Technology (FPT’15).
    [36]
    H. Kalte and M. Porrmann. 2005. Context saving and restoring for multitasking in reconfigurable systems. In International Conference on Field Programmable Logic and Applications, 2005. IEEE, 223--228.
    [37]
    N. Kapre and A. DeHon. 2011. VLIW-SCORE: Beyond C for sequential control of SPICE FPGA acceleration. In 2011 International Conference on Field-Programmable Technology (FPT’11). 1--9.
    [38]
    C. Kim, M. Chung, Y. Cho, M. Konijnenburg, S. Ryu, and J. Kim. 2012. ULP-SRP: Ultra low power samsung reconfigurable processor for biomedical applications. In 2012 International Conference on Field-Programmable Technology (FPT’12). 329--334.
    [39]
    D. Koch, C. Beckhoff, and J. Teich. 2008. ReCoBus-Builder: A novel tool and technique to build statically and dynamically reconfigurable systems for FPGAs. In International Conference on Field Programmable Logic and Applications, 2008 (FPL’08). IEEE, 119--124.
    [40]
    C. Kohn. 2015. Partial Reconfiguration of a Hardware Accelerator with Vivado Design Suite. Technical Report XAPP1231. San Jose, CA. http://www.xilinx.com/support/documentation/application_notes/xapp1231-pa rtial-reconfig-hw-accelerator-vivado.pdf.
    [41]
    S. Kumar, S. Dharmapurikar, F. Yu, P. Crowley, and J. Turner. 2006. Algorithms to accelerate multiple regular expressions matching for deep packet inspection. In Proceedings of the 2006 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications (SIGCOMM’06). ACM, New York, NY, 339--350.
    [42]
    P. H. W. Leong, H. Amano, J. Anderson, K. Bertels, J. M. P. Cardoso, O. Diessel, G. Gogniat, M. Hutton, JunKyu Lee, W. Luk, P. Lysaght, M. Platzner, V. K. Prasanna, T. Rissa, C. Silvano, H. So, and Yu Wang. 2015. Significant papers from the first 25 years of the FPL conference. In Proc. International Conference on Field Programmable Logic and Applications (FPL). 1--3.
    [43]
    D. Lewis, D. Cashman, M. Chan, J. Chromczak, G. Lai, A. Lee, T. Vanderhoek, and H. Yu. 2013. Architectural enhancements in stratix V™. In Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA’13). ACM, New York, NY, 147--156.
    [44]
    F. Li, Y. Lin, L. He, D. Chen, and J. Cong. 2005. Power modeling and characteristics of field programmable gate arrays. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 24, 11 (2005), 1712--1724.
    [45]
    O. Lindtjorn, R. Clapp, O. Pell, Haohuan Fu, M. Flynn, and Haohuan Fu. 2011. Beyond traditional microprocessors for geoscience high-performance computing applications. IEEE Micro 31, 2 (March 2011), 41--49.
    [46]
    E. Lübbers and M. Platzner. 2009. ReconOS: Multithreaded programming for reconfigurable computers. ACM Transactions on Embedded Computing Systems (TECS) 9, 1 (2009), 8.
    [47]
    A. Ludwin and V. Betz. 2011. Efficient and deterministic parallel placement for FPGAs. ACM Transactions on Design Automation of Electronic Systems 16, 3, Article 22 (June 2011), 23 pages.
    [48]
    J. Luu, J. Goeders, M. Wainberg, A. Somerville, T. Yu, K. Nasartschuk, M. Nasr, S. Wang, T. Liu, N. Ahmed, K. B. Kent, J. Anderson, J. Rose, and V. Betz. 2014. VTR 7.0: Next generation architecture and CAD system for FPGAs. ACM Transactions on Reconfigurable Technology and Systems 7, 2, Article 6 (July 2014), 30 pages.
    [49]
    P. Lysaght, B. Blodget, J. Mason, J. Young, and B. Bridgford. 2006. Invited paper: Enhanced architectures, design methodologies and CAD tools for dynamic reconfiguration of Xilinx FPGAs. In International Conference on Field Programmable Logic and Applications, 2006 (FPL’06). IEEE, 1--6.
    [50]
    P. Lysaght and J. Dunlop. 1994. Dynamic reconfiguration of FPGAs. In Selected Papers from the Oxford 1993 International Workshop on Field Programmable Logic and Applications on More FPGAs. Abingdon EE8CS Books, Oxford, UK, 82--94.
    [51]
    R. Maes and I. Verbauwhede. 2010. Physically unclonable functions: A study on the state of the art and future research directions. In Towards Hardware-Intrinsic Security. Springer, 3--37.
    [52]
    T. Marescaux, J.-Y. Mignolet, A. Bartic, W. Moffat, D. Verkest, S. Vernalde, and R. Lauwereins. 2003. Networks on chip as hardware components of an OS for reconfigurable systems. In Field Programmable Logic and Applications, Peter Y. K. Cheung and George A. Constantinides (Eds.). Lecture Notes in Computer Science, Vol. 2778. Springer, Berlin, 595--605.
    [53]
    B. Mei, S. Vernalde, D. Verkest, H. De Man, and R. Lauwereins. 2003. ADRES: An architecture with tightly coupled VLIW processor and coarse-grained reconfigurable matrix. In Field Programmable Logic and Applications, Peter Y. K. Cheung and George A. Constantinides (Eds.). Lecture Notes in Computer Science, Vol. 2778. Springer, Berlin, 61--70.
    [54]
    O. Mencer, H. Hübert, M. Morf, and M. J. Flynn. 2000. StReAm: Object-oriented programming of stream architectures using PAM-Blox. In Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing, Reiner Hartenstein and Herbert Grünbacher (Eds.). Lecture Notes in Computer Science, Vol. 1896. Springer, Berlin, 595--604.
    [55]
    U. Meyer-Baese and U. Meyer-Baese. 2007. Digital Signal Processing with Field Programmable Gate Arrays. Vol. 65. Springer.
    [56]
    F. Moraes, N. Calazans, A. Mello, L. Möller, and L. Ost. 2004. HERMES: An infrastructure for low area overhead packet-switching networks on chip. Integrated VLSI Journal 38, 1 (October 2004), 69--93.
    [57]
    NIST. 2015. SHA-3 Standard: Permutation-Based Hash and Extendable-Output Functions. Federal Information Processing Standards Publication FIPS Pub 202. http://nvlpubs.nist.gov/nistpubs/FIPS/NIST.FIPS.202.pdf.
    [58]
    A. Oetken, S. Wildermann, J. Teich, and D. Koch. 2010. A bus-based SoC architecture for flexible module placement on reconfigurable FPGAs. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL’10). 234--239.
    [59]
    T. F. Oliver, B. Schmidt, and D. L. Maskell. 2005. Reconfigurable architectures for bio-sequence database scanning on FPGAs. IEEE Transactions on Circuits and Systems II: Express Briefs, 52, 12 (2005), 851--855.
    [60]
    P. S. Ostler, M. J. Wirthlin, and J. E. Jensen. 2011. FPGA bootstrapping on PCIe using partial reconfiguration. In 2011 International Conference on Reconfigurable Computing and FPGAs (ReConFig’11). 380--385.
    [61]
    K. Pauwels, M. Tomasi, J. Diaz Alonso, E. Ros, and M. M. Van Hulle. 2012. A comparison of FPGA and GPU for real-time phase-based optical flow, stereo, and local image features. IEEE Transactions on Computers, 61, 7 (July 2012), 999--1012.
    [62]
    R. J. Petersen and B. L. Hutchings. 1995. An assessment of the suitability of FPGA-based systems for use in digital signal processing. In Field-Programmable Logic and Applications, Will Moore and Wayne Luk (Eds.). Lecture Notes in Computer Science, Vol. 975. Springer, Berlin, 293--302.
    [63]
    K. K. W. Poon, A. Yan, and S. J. E. Wilton. 2002. A flexible power model for FPGAs. In Field-Programmable Logic and Applications: Reconfigurable Computing Is Going Mainstream, Manfred Glesner, Peter Zipf, and Michel Renovell (Eds.). Lecture Notes in Computer Science, Vol. 2438. Springer, Berlin, 312--321.
    [64]
    A. Rohe, S. Teig, H. Schmit, J. Redgrave, and A. Caldwell. 2007. Operational time extension. (June 26 2007). US Patent 7,236,009.
    [65]
    F. P. Russell, P. D. Düben, X. Niu, W. Luk, and T. N. Palmer. 2015. Architectures and precision analysis for modelling atmospheric variables with chaotic behaviour. In 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM’15). 171--178.
    [66]
    O. Sander, L. Braun, M. Hübner, and J. Becker. 2008. Data reallocation by exploiting FPGA configuration mechanisms. In Reconfigurable Computing: Architectures, Tools and Applications. Springer, 312--317.
    [67]
    H. Simmler, L. Levinson, and R. Männer. 2000. Multitasking on FPGA coprocessors. In Field-Programmable Logic and Applications: The Roadmap to Reconfigurable Computing, Reiner Hartenstein and Herbert Grünbacher (Eds.). Lecture Notes in Computer Science, Vol. 1896. Springer, Berlin, 121--130.
    [68]
    I. Sourdis, J. Bispo, J. M. P. Cardoso, and S. Vassiliadis. 2008. Regular expression matching in reconfigurable hardware. Journal of Signal Processing Systems 51, 1 (2008), 99--121.
    [69]
    I. Sourdis and D. Pnevmatikatos. 2003. Fast, large-scale string match for a 10Gbps FPGA-based network intrusion detection system. In Field Programmable Logic and Applications, Peter Y. K. Cheung and George A. Constantinides (Eds.). Lecture Notes in Computer Science, Vol. 2778. Springer, Berlin, 880--889.
    [70]
    I. Sourdis and D. Pnevmatikatos. 2004. Pre-decoded CAMs for efficient and high-speed NIDS pattern matching. In 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2004 (FCCM’04). 258--267.
    [71]
    C. Steiger, H. Walder, and M. Platzner. 2004a. Operating systems for reconfigurable embedded platforms: Online scheduling of real-time tasks. IEEE Transactions on Computers, 53, 11 (November 2004), 1393--1407.
    [72]
    C. Steiger, H. Walder, and M. Platzner. 2004b. Operating systems for reconfigurable embedded platforms: Online scheduling of real-time tasks. IEEE Transactions on Computers, 53, 11 (2004), 1393--1407.
    [73]
    M. Straka, J. Kastil, Z. Kotasek, and L. Miculka. 2013. Fault tolerant system design and SEU injection based testing. Microprocessors and Microsystems 37, 2 (2013), 155--173. Digital System Safety and Security
    [74]
    L. Tan and T. Sherwood. 2005. A high throughput string matching architecture for intrusion detection and prevention. In Proceedings of the 32nd International Symposium on Computer Architecture, 2005 (ISCA’05). 112--122.
    [75]
    T. Tuan, R. L. Cline, and A. Rahman. 2012. Programmable integrated circuit with voltage domains. (2012). https://www.google.com/patents/US8159263 US Patent 8159263.
    [76]
    M. S. Turan, R. A. Perlner, L. E. Bassham, W. E. Burr, D. H. Chang, S. H. Chang, M. J. Dworkin, J. M. Kelsey, S. Paul, and R. C. Peralta. February 2011. Status Report on the Second Round of the SHA-3 Cryptographic Hash Algorithm Competition. Technical Report. NIST Interagency Report 7764.
    [77]
    M. Ullmann, M. Huebner, B. Grimm, and J. Becker. 2004. An FPGA run-time system for dynamical on-demand reconfiguration. In Proceedings of the 18th International Parallel and Distributed Processing Symposium, 2004. 135.
    [78]
    T. Van Court and M. C. Herbordt. 2007. Families of FPGA-based accelerators for approximate string matching. Microprocessors and Microsystems 31, 2 (2007), 135--145.
    [79]
    S. J. E. Wilton, S.-S. Ang, and W. Luk. 2004. The impact of pipelining on energy per operation in field-programmable gate arrays. In Field Programmable Logic and Applications, Jürgen Becker, Marco Platzner, and Serge Vernalde (Eds.). Lecture Notes in Computer Science, Vol. 3203. Springer, Berlin, 719--728.
    [80]
    C. W. Yu, K. H. Kwong, K. H. Lee, and P. H. W. Leong. 2003. A smith-waterman systolic cell. In Field Programmable Logic and Applications, Peter Y. K. Cheung and George A. Constantinides (Eds.). Lecture Notes in Computer Science, Vol. 2778. Springer, Berlin, 375--384.

    Cited By

    View all
    • (2018)Recognized as the Best: The ACM\/SIGDA TCFPGA Hall of Fame for FPGAs and Reconfigurable ComputingIEEE Solid-State Circuits Magazine10.1109/MSSC.2018.282286110:2(30-35)Online publication date: Sep-2019

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Reconfigurable Technology and Systems
    ACM Transactions on Reconfigurable Technology and Systems  Volume 10, Issue 2
    Special Section on Field Programmable Logic and Applications 2015 and Regular Papers
    June 2017
    133 pages
    ISSN:1936-7406
    EISSN:1936-7414
    DOI:10.1145/3068424
    • Editor:
    • Steve Wilton
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 22 March 2017
    Accepted: 01 September 2016
    Received: 01 January 2016
    Published in TRETS Volume 10, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 25 years
    2. FPL
    3. Field-programmable Logic and Applications
    4. Significant papers

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)18
    • Downloads (Last 6 weeks)1

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)Recognized as the Best: The ACM\/SIGDA TCFPGA Hall of Fame for FPGAs and Reconfigurable ComputingIEEE Solid-State Circuits Magazine10.1109/MSSC.2018.282286110:2(30-35)Online publication date: Sep-2019

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media