Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/3352460.3358270acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

FPGA-Accelerated Optimistic Concurrency Control for Transactional Memory

Published: 12 October 2019 Publication History

Abstract

Transactional Memory (TM) has been considered as a promising alternative to existing synchronization operations, which are often the largest stumbling block to unleashing parallelism of applications. Efficient implementations of TM, however, are challenging due to the tension between lowering performance overhead and avoiding unnecessary aborts.
In this paper, we present Reachability-based Optimistic Concurrency Control for Transactional Memory (ROCoCoTM), a novel scheme which offloads concurrency control (CC) algorithms, the central building blocks of TM systems, to reconfigurable hardware. To reduce the abort rate, an innovative formalization of mainstream CC algorithms is developed to reveal a common restriction that leads to unnecessary aborts. This restriction is resolved by the ROCoCo algorithm with a centralized validation phase, which can be efficiently pipelined in hardware. Thanks to a high-performance offloading engine implemented in reconfigurable hardware, ROCoCo algorithm results in decreased abort rates and reduced performance overhead. The whole system is implemented on Intel's HARP2 platform and evaluated with the STAMP benchmark suite. Experiments show 1.55x and 8.05x geomean speedup over TinySTM and an HTM based on Intel TSX, respectively. Given the fast-growing deployment of commodity CPU-FPGA platforms, ROCoCoTM paves the way for software programmers to exploit heterogeneous computing resources with a high-level transactional abstraction to effectively extract the parallelism in modern applications.

References

[1]
Ali-Reza Adl-Tabatabai, Brian T. Lewis, Vijay Menon, Brian R. Murphy, Bratin Saha, and Tatiana Shpeisman. 2006. Compiler and Runtime Support for Efficient Software Transactional Memory. In Proceedings of the 27th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '06). ACM, New York, NY, USA, 26--37. https://doi.org/10.1145/1133981.1133985
[2]
J. Bobba, N. Goyal, M. Hill, M. Swift, and D. Wood. 2008. TokenTM: Efficient Execution of Large Transactions with Hardware Transactional Memory. In 35th International Symposium on Computer Architecture, 2008. ISCA '08. 127--138. https://doi.org/10.1109/ISCA.2008.24
[3]
Jayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, and David A. Wood. 2007. Performance Pathologies in Hardware Transactional Memory. In Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA '07). ACM, New York, NY, USA, 81--91. https://doi.org/10.1145/1250662.1250674
[4]
Michael J. Cahill, Uwe Röhm, and Alan D. Fekete. 2008. Serializable Isolation for Snapshot Databases. In Proceedings of the 2008 ACM SIGMOD International Conference on Management of Data (SIGMOD '08). ACM, New York, NY, USA, 729--738. https://doi.org/10.1145/1376616.1376690
[5]
Brian D. Carlstrom, Austen McDonald, Michael Carbin, Christos Kozyrakis, and Kunle Olukotun. 2007. Transactional collection classes. In Proceedings of the 12th ACM SIGPLAN symposium on Principles and practice of parallel programming - PPoPP '07 (PPoPP '07). ACM Press, San Jose, California, USA, 56. https://doi.org/10.1145/1229428.1229441
[6]
Calin Cascaval, Colin Blundell, Maged Michael, Harold W. Cain, Peng Wu, Stefanie Chiras, and Siddhartha Chatterjee. 2008. Software Transactional Memory: Why Is It Only a Research Toy? Queue 6, 5 (Sept. 2008), 40:46--40:58. https://doi.org/10.1145/1454456.1454466
[7]
Jared Casper, Tayo Oguntebi, Sungpack Hong, Nathan G. Bronson, Christos Kozyrakis, and Kunle Olukotun. 2011. Hardware Acceleration of Transactional Memory on Commodity Systems. In Proceedings of the Sixteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS XVI). ACM, New York, NY, USA, 27--38. https://doi.org/10.1145/1950365.1950372
[8]
A. M. Caulfield, E. S. Chung, A. Putnam, H. Angepat, D. Firestone, J. Fowers, M. Haselman, S. Heil, M. Humphrey, P. Kaur, J. Y. Kim, D. Lo, T. Massengill, K. Ovtcharov, M. Papamichael, L. Woods, S. Lanka, D. Chiou, and D. Burger. 2017. Configurable Clouds. IEEE Micro 37, 3 (2017), 52--61. https://doi.org/10.1109/MM.2017.51
[9]
Luis Ceze, James Tuck, Josep Torrellas, and Calin Cascaval. 2006. Bulk Disambiguation of Speculative Threads in Multiprocessors. In Proceedings of the 33rd Annual International Symposium on Computer Architecture (ISCA '06). IEEE Computer Society, Washington, DC, USA, 227--238. https://doi.org/10.1109/ISCA.2006.13
[10]
Sui Chen, Lu Peng, and Samuel Irving. 2017. Accelerating GPU Hardware Transactional Memory with Snapshot Isolation. In Proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA '17). ACM, New York, NY, USA, 282--294. https://doi.org/10.1145/3079856.3080204
[11]
Young-kyu Choi, Jason Cong, Zhenman Fang, Yuchen Hao, Glenn Reinman, and Peng Wei. 2016. A Quantitative Analysis on Microarchitectures of Modern CPU-FPGA Platforms. In Proceedings of the 53rd Annual Design Automation Conference (DAC '16). ACM, New York, NY, USA, 109:1--109:6. https://doi.org/10.1145/2897937.2897972
[12]
Luke Dalessandro, Michael F. Spear, and Michael L. Scott. 2010. NOrec: Streamlining STM by Abolishing Ownership Records. In Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP '10). ACM, New York, NY, USA, 67--78. https://doi.org/10.1145/1693453.1693464
[13]
Diego Didona, Nuno Diegues, Anne-Marie Kermarrec, Rachid Guerraoui, Ricardo Neves, and Paolo Romano. 2016. ProteusTM: Abstraction Meets Performance in Transactional Memory. In Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '16). ACM, New York, NY, USA, 757--771. https://doi.org/10.1145/2872362.2872385
[14]
Nuno Diegues, Paolo Romano, and Luís Rodrigues. 2014. Virtues and Limitations of Commodity Hardware Transactional Memory. In Proceedings of the 23rd International Conference on Parallel Architectures and Compilation (PACT '14). ACM, New York, NY, USA, 3--14. https://doi.org/10.1145/2628071.2628080
[15]
Martin Dietzfelbinger, Torben Hagerup, Jyrki Katajainen, and Martti Penttonen. 1997. A Reliable Randomized Algorithm for the Closest-Pair Problem. J. Algorithms 25, 1 (Oct. 1997), 19--51. https://doi.org/10.1006/jagm.1997.0873
[16]
Alan Fekete, Elizabeth O'Neil, and Patrick O'Neil. 2004. A Read-only Transaction Anomaly Under Snapshot Isolation. SIGMOD Rec. 33, 3 (Sept. 2004), 12--14. https://doi.org/10.1145/1031570.1031573
[17]
P. Felber, C. Fetzer, P. Marlier, and T. Riegel. 2010. Time-Based Software Transactional Memory. IEEE Transactions on Parallel and Distributed Systems 21, 12 (Dec. 2010), 1793--1807. https://doi.org/10.1109/TPDS.2010.49
[18]
Peter C. Fishburn. 1985. Interval orders and interval graphs: a study of partially ordered sets. Wiley. Google-Books-ID: DuSAAAAAIAAJ.
[19]
Rachid Guerraoui and Michal Kapalka. 2008. On the correctness of transactional memory. ACM Press, 175. https://doi.org/10.1145/1345206.1345233
[20]
Tim Harris, James Larus, and Ravi Rajwar. 2010. Transactional Memory, 2Nd Edition (2nd ed.). Morgan and Claypool Publishers.
[21]
Maurice Herlihy and J. Eliot B. Moss. 1993. Transactional Memory: Architectural Support for Lock-free Data Structures. In Proceedings of the 20th Annual International Symposium on Computer Architecture (ISCA '93). ACM, New York, NY, USA, 289--300. https://doi.org/10.1145/165123.165164
[22]
Maurice Herlihy and Nir Shavit. 2008. The Art of Multiprocessor Programming. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA.
[23]
Maurice P. Herlihy and Jeannette M. Wing. 1990. Linearizability: A Correctness Condition for Concurrent Objects. ACM Trans. Program. Lang. Syst. 12, 3 (July 1990), 463--492. https://doi.org/10.1145/78969.78972
[24]
Sungpack Hong, T. Oguntebi, J. Casper, N. Bronson, C. Kozyrakis, and K. Olukotun. 2010. Eigenbench: A simple exploration tool for orthogonal TM characteristics. In 2010 IEEE International Symposium on Workload Characterization (IISWC). 1--11. https://doi.org/10.1109/IISWC.2010.5648812
[25]
Theo Härder. 1984. Observations on Optimistic Concurrency Control Schemes. Inf. Syst. 9, 2 (Nov. 1984), 111--120. https://doi.org/10.1016/0306-4379(84)90020-6
[26]
Intel Corporation. 2015. Xeon+fpga platform for the data center. https://www.ece.cmu.edu/~calcm/carl/lib/exe/fetch.php?media=carl15-gupta.pdf
[27]
Intel Corporation. 2017. Intel® FPGA IP Core Cache Interface (CCI-P) Specification.
[28]
Christian Jacobi, Timothy Slegel, and Dan Greiner. 2012. Transactional Memory Architecture and Implementation for IBM System Z. In Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-45). IEEE Computer Society, Washington, DC, USA, 25--36. https://doi.org/10.1109/MICRO.2012.12
[29]
Syed Ali Raza Jafri, Gwendolyn Voskuilen, and T. N. Vijaykumar. 2013. Waitn-GoTM: Improving HTM Performance by Serializing Cyclic Dependencies. In Proceedings of the Eighteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '13). ACM, New York, NY, USA, 521--534. https://doi.org/10.1145/2451116.2451173
[30]
Mark C. Jeffrey and J. Gregory Steffan. 2011. Understanding bloom filter intersection for lazy address-set disambiguation. In Proceedings of the 23th ACM Symposium on Parallelism in Algorithms and Architectures (SPAA '11). ACM Press, 345. https://doi.org/10.1145/1989493.1989551
[31]
Mark C. Jeffrey, Suvinay Subramanian, Cong Yan, Joel Emer, and Daniel Sanchez. 2015. A Scalable Architecture for Ordered Parallelism. In Proceedings of the 48th International Symposium on Microarchitecture (MICRO-48). ACM, New York, NY, USA, 228--241. https://doi.org/10.1145/2830772.2830777
[32]
Arpit Joshi, Vijay Nagarajan, Marcelo Cintra, and Stratis Viglas. 2018. DHTM: Durable Hardware Transactional Memory. In Proceedings of the 45th Annual International Symposium on Computer Architecture (ISCA '18). IEEE Press, Piscataway, NJ, USA, 452--465. https://doi.org/10.1109/ISCA.2018.00045 event-place: Los Angeles, California.
[33]
A. B. Kahn. 1962. Topological Sorting of Large Networks. Commun. ACM 5, 11 (Nov. 1962), 558--562. https://doi.org/10.1145/368996.369025
[34]
Sanidhya Kashyap, Changwoo Min, Kangnyeon Kim, and Taesoo Kim. 2018. A Scalable Ordering Primitive for Multicore Machines. In Proceedings of the Thirteenth EuroSys Conference (EuroSys '18). ACM, New York, NY, USA, 34:1--34:15. https://doi.org/10.1145/3190508.3190510
[35]
Martin Kleppmann. 2017. Designing Data-Intensive Applications (1 edition ed.). O'Reilly Media, Boston.
[36]
H. T. Kung and John T. Robinson. 1981. On Optimistic Methods for Concurrency Control. ACM Trans. Database Syst. 6, 2 (June 1981), 213--226. https://doi.org/10.1145/319566.319567
[37]
Yossi Lev, Victor Luchangco, Marek Olszewski, Virendra J Marathe, Mark Moir, and Dan Nussbaum. 2009. Anatomy of a Scalable Software Transactional Memory. In TRANSACT '09: 4th Workshop on Transactional Computing. 10.
[38]
David Lewis, Gordon Chiu, Jeffrey Chromczak, David Galloway, Ben Gamsa, Valavan Manohararajah, Ian Milton, Tim Vanderhoek, and John Van Dyken. 2016. The Stratix™ 10 Highly Pipelined FPGA Architecture. In Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '16). ACM, New York, NY, USA, 159--168. https://doi.org/10.1145/2847263.2847267
[39]
Zhaoshi Li, Leibo Liu, Yangdong Deng, Shouyi Yin, Yao Wang, and Shaojun Wei. 2017. Aggressive Pipelining of Irregular Applications on Reconfigurable Hardware. In Proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA '17). ACM, Toronto, ON, Canada, 575--586. https://doi.org/10.1145/3079856.3080228
[40]
Heiner Litz, David Cheriton, Amin Firoozshahian, Omid Azizi, and John P. Stevenson. 2014. SI-TM: Reducing Transactional Memory Abort Rates Through Snapshot Isolation. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '14). ACM, New York, NY, USA, 383--398. https://doi.org/10.1145/2541940.2541952
[41]
Heiner Litz, Ricardo J. Dias, and David R. Cheriton. 2015. Efficient Correction of Anomalies in Snapshot Isolation Transactions. ACM Trans. Archit. Code Optim. 11, 4 (Jan. 2015), 65:1--65:24. https://doi.org/10.1145/2693260
[42]
Xiaoyu Ma, Dan Zhang, and Derek Chiou. 2017. FPGA-Accelerated Transactional Execution of Graph Workloads. In Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '17). ACM, New York, NY, USA, 227--236. https://doi.org/10.1145/3020078.3021743
[43]
Martin Kleppmann. 2014. Hermitage: Testing the "I" in ACID --- Martin Kleppmann's blog. https://martin.kleppmann.com/2014/11/25/hermitage-testing-the-i-in-acid.html https://martin.kleppmann.com/2014/11/25/hermitage-testing-the-i-in-acid.html.
[44]
Alexander Matveev and Nir Shavit. 2015. Reduced Hardware NOrec: A Safe and Scalable Hybrid Transactional Memory. In Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '15). ACM, New York, NY, USA, 59--71. https://doi.org/10.1145/2694344.2694393
[45]
Mojtaba Mehrara, Jeff Hao, Po-Chun Hsu, and Scott Mahlke. 2009. Parallelizing Sequential Applications on Commodity Hardware Using a Low-cost Software Transactional Memory. In Proceedings of the 30th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '09). ACM, New York, NY, USA, 166--176. https://doi.org/10.1145/1542476.1542495
[46]
Chi Cao Minh, JaeWoong Chung, C. Kozyrakis, and K. Olukotun. 2008. STAMP: Stanford Transactional Applications for Multi-Processing. In 2008 IEEE International Symposium on Workload Characterization. 35--46. https://doi.org/10.1109/IISWC.2008.4636089
[47]
Chi Cao Minh, Martin Trautmann, JaeWoong Chung, Austen McDonald, Nathan Bronson, Jared Casper, Christos Kozyrakis, and Kunle Olukotun. 2007. An Effective Hybrid Transactional Memory System with Strong Isolation Guarantees. In Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA '07). ACM, New York, NY, USA, 69--80. https://doi.org/10.1145/1250662.1250673
[48]
Donald Nguyen and Keshav Pingali. 2017. What Scalable Programs Need from Transactional Memory. In Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '17). ACM, New York, NY, USA, 105--118. https://doi.org/10.1145/3037697.3037750
[49]
Christos H. Papadimitriou. 1979. The serializability of concurrent database updates. J. ACM 26, 4 (Oct. 1979), 631--653. https://doi.org/10.1145/322154.322158
[50]
Keshav Pingali, Donald Nguyen, Milind Kulkarni, Martin Burtscher, M. Amber Hassaan, Rashid Kaleem, Tsung-Hsien Lee, Andrew Lenharth, Roman Manevich, Mario Méndez-Lojo, Dimitrios Prountzos, and Xin Sui. 2011. The Tao of Parallelism in Algorithms. In Proceedings of the 32Nd ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '11). ACM, New York, NY, USA, 12--25. https://doi.org/10.1145/1993498.1993501
[51]
Raghu Prabhakar, David Koeplinger, Kevin J. Brown, HyoukJoong Lee, Christopher De Sa, Christos Kozyrakis, and Kunle Olukotun. 2016. Generating Configurable Hardware from Parallel Patterns. In Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '16). ACM, New York, NY, USA, 651--665. https://doi.org/10.1145/2872362.2872415
[52]
Andrew Putnam, Adrian M. Caulfield, Eric S. Chung, Derek Chiou, Kypros Constantinides, John Demme, Hadi Esmaeilzadeh, Jeremy Fowers, Gopi Prashanth, Gopal Jan, Gray Michael, Haselman Scott Hauck, Stephen Heil, Amir Hormati, Joo-Young Kim, Sitaram Lanka, James Larus, Eric Peterson, Simon Pope, Aaron Smith, Jason Thong, Phillip Yi, and Xiao Doug Burger. 2014. A Reconfigurable Fabric for Accelerating Large-scale Datacenter Services. In Proceeding of the 41st Annual International Symposium on Computer Architecuture (ISCA '14). IEEE Press, Piscataway, NJ, USA, 13--24. http://dl.acm.org/citation.cfm?id=2665671.2665678
[53]
X. Qian, B. Sahelices, and J. Torrellas. 2014. OmniOrder: Directory-based conflict serialization of transactions. In 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA). 421--432. https://doi.org/10.1109/ISCA.2014.6853223
[54]
Hany E. Ramadan, Christopher J. Rossbach, and Emmett Witchel. 2008. Dependence-aware Transactional Memory for Increased Concurrency. In Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 41). IEEE Computer Society, Washington, DC, USA, 246--257. https://doi.org/10.1109/MICRO.2008.4771795
[55]
Torvald Riegel. 2006. Snapshot isolation for software transactional memory. In In Proceedings of the First ACM SIGPLAN Workshop on Languages, Compilers, and Hardware Support for Transactional Computing (TRANSACT'06.
[56]
Wenjia Ruan, Trilok Vyas, Yujie Liu, and Michael Spear. 2014. Transactionalizing Legacy Code: An Experience Report Using GCC and Memcached. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '14). ACM, New York, NY, USA, 399--412. https://doi.org/10.1145/2541940.2541960
[57]
B. Saha, A. r Adl-Tabatabai, and Q. Jacobson. 2006. Architectural Support for Software Transactional Memory. In 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06). 185--196. https://doi.org/10.1109/MICRO.2006.9
[58]
Daniel Sanchez, Luke Yen, Mark D. Hill, and Karthikeyan Sankaralingam. 2007. Implementing Signatures for Transactional Memory. In Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 40). IEEE Computer Society, Washington, DC, USA, 123--133. https://doi.org/10.1109/MICRO.2007.24
[59]
Tatiana Shpeisman, Vijay Menon, Ali-Reza Adl-Tabatabai, Steven Balensiefer, Dan Grossman, Richard L Hudson, Katherine F Moore, and Bratin Saha. 2007. Enforcing Isolation and Ordering in STM. In Proceedings of the 28th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '07). Pages 78--88. https://doi.org/1250744
[60]
Michael F. Spear, Luke Dalessandro, Virendra J. Marathe, and Michael L. Scott. 2008. Ordering-Based Semantics for Software Transactional Memory. In Proceedings of the 12th International Conference on Principles of Distributed Systems (OPODIS '08). Springer-Verlag, Berlin, Heidelberg, 275--294. https://doi.org/10.1007/978-3-540-92221-6_19
[61]
Michael F. Spear, Luke Dalessandro, Virendra J. Marathe, and Michael L. Scott. 2009. A Comprehensive Strategy for Contention Management in Software Transactional Memory. In Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming (PPoPP '09). ACM, New York, NY, USA, 141--150. https://doi.org/10.1145/1504176.1504199
[62]
Michael F. Spear, Maged M. Michael, and Christoph von Praun. 2008. RingSTM: Scalable Transactions with a Single Atomic Instruction. In Proceedings of the Twentieth Annual Symposium on Parallelism in Algorithms and Architectures (SPAA '08). ACM, New York, NY, USA, 275--284. https://doi.org/10.1145/1378533.1378583
[63]
Alexander Spiegelman, Guy Golan-Gueta, and Idit Keidar. 2016. Transactional Data Structure Libraries. In Proceedings of the 37th ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI '16). ACM, New York, NY, USA, 682--696. https://doi.org/10.1145/2908080.2908112
[64]
Suvinay Subramanian, Mark C. Jeffrey, Maleen Abeydeera, Hyun Ryong Lee, Victor A. Ying, Joel Emer, and Daniel Sanchez. 2017. Fractal: An Execution Model for Fine-Grain Nested Speculative Parallelism. In Proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA '17). ACM, New York, NY, USA, 587--599. https://doi.org/10.1145/3079856.3080218
[65]
S.M. Trimberger. 2015. Three Ages of FPGAs: A Retrospective on the First Thirty Years of FPGA Technology. Proc. IEEE 103, 3 (March 2015), 318--331. https://doi.org/10.1109/JPROC.2015.2392104
[66]
Stephen Tu, Wenting Zheng, Eddie Kohler, Barbara Liskov, and Samuel Madden. 2013. Speedy Transactions in Multicore In-memory Databases. In Proceedings of the Twenty-Fourth ACM Symposium on Operating Systems Principles (SOSP '13). ACM, New York, NY, USA, 18--32. https://doi.org/10.1145/2517349.2522713
[67]
Gwendolyn Voskuilen, Faraz Ahmad, and T. N. Vijaykumar. 2010. Timetraveler: Exploiting Acyclic Races for Optimizing Memory Race Recording. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA '10). ACM, New York, NY, USA, 198--209. https://doi.org/10.1145/1815961.1815986
[68]
Stephen Warshall. 1962. A Theorem on Boolean Matrices. J. ACM 9, 1 (Jan. 1962), 11--12. https://doi.org/10.1145/321105.321107
[69]
W. E. Weihl. 1989. Local Atomicity Properties: Modular Concurrency Control for Abstract Data Types. ACM Trans. Program. Lang. Syst. 11, 2 (April 1989), 249--282. https://doi.org/10.1145/63264.63518
[70]
Yingjun Wu and Kian-Lee Tan. 2016. Scalable In-memory Transaction Processing with HTM. In Proceedings of the 2016 USENIX Conference on Usenix Annual Technical Conference (USENIX ATC '16). USENIX Association, Berkeley, CA, USA, 365--377. http://dl.acm.org/citation.cfm?id=3026959.3026993
[71]
Richard M. Yoo, Christopher J. Hughes, Konrad Lai, and Ravi Rajwar. 2013. Performance Evaluation of Intel® Transactional Synchronization Extensions for High-performance Computing. In Proceedings of the International Conference on High Performance Computing, Networking, Storage and Analysis (SC '13). ACM, New York, NY, USA, 19:1--19:11. https://doi.org/10.1145/2503210.2503232
[72]
Xiangyao Yu, George Bezerra, Andrew Pavlo, Srinivas Devadas, and Michael Stonebraker. 2014. Staring into the Abyss: An Evaluation of Concurrency Control with One Thousand Cores. Proc. VLDB Endow. 8, 3 (Nov. 2014), 209--220. https://doi.org/10.14778/2735508.2735511
[73]
Xiangyao Yu, Andrew Pavlo, Daniel Sanchez, and Srinivas Devadas. 2016. Tic-Toc: Time Traveling Optimistic Concurrency Control. In Proceedings of the 2016 International Conference on Management of Data - SIGMOD '16 (SIGMOD '16). ACM Press, San Francisco, California, USA, 1629--1642. https://doi.org/10.1145/2882903.2882935
[74]
G. Zhang, V. Chiu, and D. Sanchez. 2016. Exploiting semantic commutativity in hardware speculation. In 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 1--12. https://doi.org/10.1109/MICRO.2016.7783737

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
MICRO '52: Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture
October 2019
1104 pages
ISBN:9781450369381
DOI:10.1145/3352460
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 12 October 2019

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. FPGA
  2. Hardware Accelerator
  3. Transactional Memory

Qualifiers

  • Research-article
  • Research
  • Refereed limited

Funding Sources

  • National Natural Science Foundation of China
  • National Science and Technology Major Project of the Ministry of Science and Technology of China
  • National Key R&D Program of China

Conference

MICRO '52
Sponsor:

Acceptance Rates

Overall Acceptance Rate 484 of 2,242 submissions, 22%

Upcoming Conference

MICRO '24

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • 0
    Total Citations
  • 1,035
    Total Downloads
  • Downloads (Last 12 months)42
  • Downloads (Last 6 weeks)1
Reflects downloads up to 18 Aug 2024

Other Metrics

Citations

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media