Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions

Published: 09 December 2022 Publication History
  • Get Citation Alerts
  • Abstract

    Beyond-edge devices can operate outside the reach of the power grid and without batteries. Such devices can be deployed in large numbers in regions that are difficult to access. Using machine learning, these devices can solve complex problems and relay valuable information back to a host. Many such devices deployed in low Earth orbit can even be used as nanosatellites. Due to the harsh and unpredictable nature of the environment, these devices must be highly energy-efficient, be capable of operating intermittently over a wide temperature range, and be tolerant of radiation. Here, we propose a non-volatile processing-in-memory architecture that is extremely energy-efficient, supports minimal overhead checkpointing for intermittent computing, can operate in a wide range of temperatures, and has a natural resilience to radiation.

    References

    [1]
    Everspin Technologies. 2019. Retrieved from https://www.everspin.com/supportdocs/EMD3D256M08G1-150CBS1.
    [2]
    Everspin Technologies. 2019. Retrieved from https://www.everspin.com/family/emd4e001g?npath=3557.
    [3]
    Junwhan Ahn, Sungpack Hong, Sungjoo Yoo, Onur Mutlu, and Kiyoung Choi. 2015. A scalable processing-in-memory accelerator for parallel graph processing. In Proceedings of the 42nd Annual International Symposium on Computer Architecture. 105–117.
    [4]
    Davide Anguita, Alessandro Ghio, Luca Oneto, Xavier Parra, and Jorge Luis Reyes-Ortiz. 2013. A public domain dataset for human activity recognition using smartphones. In Proceedings of the 21st European Symposium on Artificial Neural Networks (ESANN’13).
    [5]
    Faycal Ait Aouda, Kevin Marquet, and Guillaume Salagnac. 2014. Incremental checkpointing of program state to NVRAM for transiently powered systems. In Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC’14). IEEE, 1–4.
    [6]
    Domenico Balsamo, Anup Das, Alex S. Weddell, Davide Brunelli, Bashir M. Al-Hashimi, Geoff V. Merrett, and Luca Benini. 2016. Graceful performance modulation for power-neutral transient computing systems. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 5 (2016), 738–749.
    [7]
    Domenico Balsamo, Alex S. Weddell, Anup Das, Alberto Rodriguez Arreola, Davide Brunelli, Bashir M. Al-Hashimi, Geoff V. Merrett, and Luca Benini. 2016. Hibernus++: A self-calibrating and adaptive system for transiently powered embedded devices. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 35, 12 (2016), 1968–1980.
    [8]
    Domenico Balsamo, Alex S. Weddell, Geoff V. Merrett, Bashir M. Al-Hashimi, Davide Brunelli, and Luca Benini. 2014. Hibernus: Sustaining computation during intermittent supply for energy-harvesting systems. IEEE Embed. Syst. Lett. 7, 1 (2014), 15–18.
    [9]
    Mark Barton and Jon Miller. 2005. Modular thermal design concepts: Thermal design of a spacecraft on a module level for LEO missions.
    [10]
    Robert Baumann. 2005. Soft errors in advanced computer systems. IEEE Design Test Comput. 22, 3 (2005), 258–266.
    [11]
    Todd Bayer, Brent Buffington, Jean-Francois Castet, Maddalena Jackson, Gene Lee, Kari Lewis, Jason Kastner, Kathy Schimmels, and Karen Kirby. 2017. Europa mission update: Beyond payload selection. In Proceedings of the IEEE Aerospace Conference. IEEE, 1–12.
    [12]
    Gautier Berthou, Tristan Delizy, Kevin Marquet, Tanguy Risset, and Guillaume Salagnac. 2017. Peripheral state persistence for transiently-powered systems. In Proceedings of the Global Internet of Things Summit (GIoTS’17). IEEE, 1–6.
    [13]
    Anantha P. Chandrakasan, Denis C. Daly, Joyce Kwong, and Yogesh K. Ramadass. 2008. Next generation micro-power systems. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 2–5.
    [14]
    Chih-Chung Chang and Chih-Jen Lin. 2011. LIBSVM: A library for support vector machines. ACM Trans. Intell. Syst. Technol. 2, 3 (2011), 27.
    [15]
    Lerong Chen, Jiawen Li, Yiran Chen, Qiuping Deng, Jiyuan Shen, Xiaoyao Liang, and Li Jiang. 2017. Accelerator-friendly neural-network training: Learning variations and defects in RRAM crossbar. In Proceedings of the Conference on Design, Automation and Test in Europe. European Design and Automation Association, 19–24.
    [16]
    Xizi Chen, Jingbo Jiang, Jingyang Zhu, and Chi-Ying Tsui. 2018. A high-throughput and energy-efficient RRAM-based convolutional neural network using data encoding and dynamic quantization. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC’18). IEEE, 123–128.
    [17]
    Zamshed Chowdhury, Jonathan D. Harms, S. Karen Khatamifard, Masoud Zabihi, Yang Lv, Andrew P. Lyle, Sachin S. Sapatnekar, Ulya R. Karpuzcu, and Jian-Ping Wang. 2017. Efficient in-memory processing using spintronics. IEEE Comput. Architect. Lett. 17, 1 (2017), 42–46.
    [18]
    Alexei Colin and Brandon Lucia. 2016. Chain: Tasks and channels for reliable intermittent programs. In ACM SIGPLAN Notices, Vol. 51. ACM, 514–530.
    [19]
    Alexei Colin and Brandon Lucia. 2018. Termination checking and task decomposition for task-based intermittent programs. In Proceedings of the 27th International Conference on Compiler Construction. ACM, 116–127.
    [20]
    Alexei Colin, Emily Ruppel, and Brandon Lucia. 2018. A reconfigurable energy storage architecture for energy-harvesting devices. In ACM SIGPLAN Notices, Vol. 53. ACM, 767–781.
    [21]
    Y. Conraux, J. P. Nozieres, V. Da Costa, M. Toulemonde, and K. Ounadjela. 2003. Effects of swift heavy ion bombardment on magnetic tunnel junction functional properties. J. Appl. Phys. 93, 10 (2003), 7301–7303.
    [22]
    Francesco Conti, Pasquale Davide Schiavone, and Luca Benini. 2018. XNOR neural engine: A hardware accelerator IP for 21.6-fJ/op binary neural network inference. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 37, 11 (2018), 2940–2951.
    [23]
    Matthieu Courbariaux, Itay Hubara, Daniel Soudry, Ran El-Yaniv, and Yoshua Bengio. 2016. Binarized neural networks: Training deep neural networks with weights and activations constrained to +1 or \(-1\) . Retrieved from https://arXiv:1602.02830.
    [24]
    Hsrev Cilasun, Salonik Resch, Zamshed Iqbal Chowdhury, Erin Olson, Masoud Zabihi, Zhengyang Zhao, Thomas Peterson, Jian-Ping Wang, Sachin S. Sapatnekar, and Ulya Karpuzcu. 2020. CRAFFT: High resolution FFT accelerator in spintronic computational RAM. In Proceedings of the 57th Annual ACM/IEEE Design Automation Conference.
    [25]
    Jasper de Winkel, Carlo Delle Donne, Kasim Sinan Yildirim, Przemysław Pawełczak, and Josiah Hester. 2020. Reliable timekeeping for intermittent computing. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 53–67.
    [26]
    Bradley Denby and Brandon Lucia. 2019. Orbital edge computing: Machine inference in space. IEEE Comput. Architect. Lett. 18, 1 (2019), 59–62.
    [27]
    Bradley Denby and Brandon Lucia. 2020. Orbital edge computing: Nanosatellite constellations as a new class of computer system. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 939–954.
    [28]
    Zhitao Diao, Alex Panchula, Yunfei Ding, Mahendra Pakala, Shengyuan Wang, Zhanjie Li, Dmytro Apalkov, Hideyasu Nagai, Alexander Driskill-Smith, Lien-Chang Wang, et al. 2007. Spin transfer switching in dual MgO magnetic tunnel junctions. Appl. Phys. Lett. 90, 13 (2007), 132508.
    [29]
    Xiangyu Dong, Xiaoxia Wu, Guangyu Sun, Yuan Xie, Helen Li, and Yiran Chen. 2008. Circuit and microarchitecture evaluation of 3D stacking magnetic RAM (MRAM) as a universal memory replacement. In Proceedings of the 45th ACM/IEEE Design Automation Conference. IEEE, 554–559.
    [30]
    Xiangyu Dong, Cong Xu, Yuan Xie, and Norman P. Jouppi. 2012. Nvsim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 31, 7 (2012), 994–1007.
    [31]
    Philipp Dürrenfeld, Felicitas Gerhard, Jonathan Chico, Randy K. Dumas, Mojtaba Ranjbar, Anders Bergman, Lars Bergqvist, Anna Delin, Charles Gould, Laurens W. Molenkamp, et al. 2015. Tunable damping, saturation magnetization, and exchange stiffness of half-Heusler NiMnSb thin films. Phys. Rev. B 92, 21 (2015), 214424.
    [32]
    Charles Eckert, Xiaowei Wang, Jingcheng Wang, Arun Subramaniyan, Ravi Iyer, Dennis Sylvester, David Blaauw, and Reetuparna Das. 2018. Neural cache: Bit-serial in-cache acceleration of deep neural networks. In Proceedings of the 45th Annual International Symposium on Computer Architecture. IEEE Press, 383–396.
    [33]
    Patrick R. Fleming, Brian D. Olson, W. Timothy Holman, Bharat L. Bhuva, and Lloyd W. Massengill. 2008. Design technique for mitigation of soft errors in differential switched-capacitor circuits. IEEE Trans. Circ. Syst. II: Express Briefs 55, 9 (2008), 838–842.
    [34]
    Karthik Ganesan, Joshua San Miguel, and Natalie Enright Jerger. 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211–223.
    [35]
    Karthik Ganesan, Joshua San Miguel, and Natalie Enright Jerger. 2019. The what’s next intermittent computing architecture. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’19). IEEE, 211–223.
    [36]
    Kevin Garello, Farrukh Yasin, S. Couet, Laurent Souriau, J. Swerts, S. Rao, Simon Van Beek, Wonsub Kim, Enlong Liu, S. Kundu, et al. 2018. SOT-MRAM 300mm integration for low power and ultrafast embedded memories. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 81–82.
    [37]
    Simone Gerardin and Alessandro Paccagnella. 2010. Present and future non-volatile memories for space. IEEE Trans. Nuclear Sci. 57, 6 (2010), 3016–3039.
    [38]
    Graham Gobieski, Nathan Beckmann, and Brandon Lucia. 2018. Intermittent Deep Neural Network Inference.
    [39]
    Graham Gobieski, Brandon Lucia, and Nathan Beckmann. 2019. Intelligence beyond the edge: Inference on intermittent embedded systems. In Proceedings of the 24th International Conference on Architectural Support for Programming Languages and Operating Systems. 199–213.
    [40]
    Graham Gobieski, Amolak Nagi, Nathan Serafin, Mehmet Meric Isgenc, Nathan Beckmann, and Brandon Lucia. 2019. Manic: A vector-dataflow architecture for ultra-low-power embedded systems. In Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. 670–684.
    [41]
    Hayit Greenspan, Bram Van Ginneken, and Ronald M. Summers. 2016. Guest editorial deep learning in medical imaging: Overview and future promise of an exciting new technique. IEEE Trans. Med. Imag. 35, 5 (2016), 1153–1159.
    [42]
    Matthew R. Guthaus, Jeffrey S. Ringenberg, Dan Ernst, Todd M. Austin, Trevor Mudge, and Richard B. Brown. 2001. MiBench: A free, commercially representative embedded benchmark suite. In Proceedings of the 4th Annual IEEE International Workshop on Workload Characterization (WWC’01). IEEE, 3–14.
    [43]
    Ramesh Harjani and Saurabh Chaubey. 2014. A unified framework for capacitive series-parallel DC-DC converter design. In Proceedings of the IEEE Custom Integrated Circuits Conference. IEEE, 1–8.
    [44]
    John L. Hennessy and David A. Patterson. 2011. Computer Architecture: A Quantitative Approach. Elsevier.
    [45]
    Josiah Hester, Travis Peters, Tianlong Yun, Ronald Peterson, Joseph Skinner, Bhargav Golla, Kevin Storer, Steven Hearndon, Kevin Freeman, Sarah Lord, et al. 2016. Amulet: An energy-efficient, multi-application wearable platform. In Proceedings of the 14th ACM Conference on Embedded Network Sensor Systems CD-ROM. ACM, 216–229.
    [46]
    Josiah Hester, Lanny Sitanayah, and Jacob Sorber. 2015. Tragedy of the coulombs: Federating energy storage for tiny, intermittently-powered sensors. In Proceedings of the 13th ACM Conference on Embedded Networked Sensor Systems. ACM, 5–16.
    [47]
    Josiah Hester and Jacob Sorber. 2017. Flicker: Rapid prototyping for the batteryless internet-of-things. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 19.
    [48]
    Josiah Hester, Kevin Storer, and Jacob Sorber. 2017. Timely execution on intermittently powered batteryless sensors. In Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems. ACM, 17.
    [49]
    Matthew Hicks. 2017. Clank: Architectural support for intermittent computation. In Proceedings of the ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA’17). IEEE, 228–240.
    [50]
    Tifenn Hirtzlin, Bogdan Penkovsky, Jacques-Olivier Klein, Nicolas Locatelli, Adrien F. Vincent, Marc Bocquet, Jean-Michel Portal, and Damien Querlioz. 2019. Implementing binarized neural networks with magnetoresistive ram without error correction. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH’19). IEEE, 1–5.
    [51]
    G. Hu, J. H. Lee, J. J. Nowak, J. Z. Sun, J. Harms, A. Annunziata, S. Brown, W. Chen, Y. H. Kim, G. Lauer, et al. 2015. STT-MRAM with double magnetic tunnel junctions. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’15). IEEE, 26–3.
    [52]
    Xiao-Di Huang et al. 2020. Forming-free, fast, uniform, and high endurance resistive switching from cryogenic to high temperatures in W/AlO x/Al 2 O 3/Pt bilayer memristor. IEEE Electron Device Lett. 41, 4 (2020), 549–552.
    [53]
    Harold Hughes, Konrad Bussmann, Patrick J. McMarr, Shu-Fan Cheng, Robert Shull, Andrew P. Chen, Simon Schafer, Tim Mewes, Adrian Ong, Eugene Chen, et al. 2012. Radiation studies of spin-transfer torque materials and devices. IEEE Trans. Nuclear Sci. 59, 6 (2012), 3027–3033.
    [54]
    Kyuyeon Hwang and Wonyong Sung. 2014. Fixed-point feedforward deep neural network design using weights+ 1, 0, and- 1. In Proceedings of the IEEE Workshop on Signal Processing Systems (SiPS’14). IEEE, 1–6.
    [55]
    Guenole Jan, Luc Thomas, Son Le, Yuan-Jen Lee, Huanlong Liu, Jian Zhu, Ru-Ying Tong, Keyu Pi, Yu-Jen Wang, Dongna Shen, et al. 2014. Demonstration of fully functional 8Mb perpendicular STT-MRAM chips with sub-5ns writing for non-volatile embedded memories. In Proceedings of the Symposium on VLSI Technology: Digest of Technical Papers. IEEE, 1–2.
    [56]
    Hrishikesh Jayakumar, Arnab Raha, and Vijay Raghunathan. 2014. QuickRecall: A low overhead HW/SW approach for enabling computations across power cycles in transiently powered computers. In Proceedings of the 27th International Conference on VLSI Design and 13th International Conference on Embedded Systems. IEEE, 330–335.
    [57]
    Hongyang Jia, H. Valavi, Y. Tang, J. Zhang, and N. Verma. 2019. A programmable embedded microprocessor for bit-scalable in-memory computing. In Proceedings of the IEEE Hot Chips 31 Symposium (HCS’19). IEEE, 1–29.
    [58]
    Sang-Woo Jun, Ming Liu, Sungjin Lee, Jamey Hicks, John Ankcorn, Myron King, Shuotao Xu, et al. 2015. Bluedbm: An appliance for big data analytics. In Proceedings of the ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA’15). IEEE, 1–13.
    [59]
    Wanyeong Jung, Sechang Oh, Suyoung Bang, Yoonmyung Lee, Dennis Sylvester, and David Blaauw. 2014. 23.3 A 3nW fully integrated energy harvester based on self-oscillating switched-capacitor DC-DC converter. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). IEEE, 398–399.
    [60]
    Yangwook Kang, Yang-suk Kee, Ethan L. Miller, and Chanik Park. 2013. Enabling cost-effective data processing with smart SSD. In Proceedings of the IEEE 29th Symposium on Mass Storage Systems and Technologies (MSST’13). IEEE, 1–12.
    [61]
    Holger Kappert, Norbert Kordas, Stefan Dreiner, Uwe Paschen, and Rainer Kokozinski. 2015. High temperature SOI CMOS technology and circuit realization for applications up to 300 \(^{\circ }\) C. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’15). IEEE, 1162–1165.
    [62]
    Sungchan Kim, Hyunok Oh, Chanik Park, Sangyeun Cho, Sang-Won Lee, and Bongki Moon. 2016. In-storage processing of database scans and joins. Info. Sci. 327 (2016), 183–200.
    [63]
    Sangkil Kim, Rushi Vyas, Jo Bito, Kyriaki Niotaki, Ana Collado, Apostolos Georgiadis, and Manos M. Tentzeris. 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 1649–1666.
    [64]
    Sangkil Kim, Rushi Vyas, Jo Bito, Kyriaki Niotaki, Ana Collado, Apostolos Georgiadis, and Manos M. Tentzeris. 2014. Ambient RF energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proc. IEEE 102, 11 (2014), 1649–1666.
    [65]
    Daisuke Kobayashi, Kazuyuki Hirose, Takahiro Makino, Shinobu Onoda, Takeshi Ohshima, Shoji Ikeda, Hideo Sato, Eli Christopher Inocencio Enobio, Tetsuo Endoh, and Hideo Ohno. 2017. Soft errors in 10-nm-scale magnetic tunnel junctions exposed to high-energy heavy-ion radiation. Japan. J. Appl. Phys. 56, 8 (2017), 0802B4.
    [66]
    Daisuke Kobayashi, Yuya Kakehashi, Kazuyuki Hirose, Shinobu Onoda, Takahiro Makino, Takeshi Ohshima, Shoji Ikeda, Michihiko Yamanouchi, Hideo Sato, Eli Christopher Enobio, et al. 2014. Influence of heavy ion irradiation on perpendicular-anisotropy CoFeB-MgO magnetic tunnel junctions. IEEE Trans. Nuclear Sci. 61, 4 (2014), 1710–1716.
    [67]
    Ron Kohavi. 1996. Scaling up the accuracy of Naive-Bayes classifiers: A decision-tree hybrid. In Proceedings of the 2nd International Conference on Knowledge Discovery and Data Mining (KDD’96), Vol. 96. Citeseer, 202–207.
    [68]
    Vito Kortbeek, Kasim Sinan Yildirim, Abu Bakar, Jacob Sorber, Josiah Hester, and Przemysław Pawełczak. 2020. Time-sensitive intermittent computing meets legacy software. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 85–99.
    [69]
    Alex Krizhevsky, Ilya Sutskever, and Geoffrey E. Hinton. 2012. Imagenet classification with deep convolutional neural networks. In Advances in Neural Information Processing Systems. 1097–1105.
    [70]
    Jeetendra Kumar. 2005. Use of pass transistor logic to minimize the impact of soft errors in combinational circuits. In Proceedings of the Workshop on System Effects of Logic Soft Errors.
    [71]
    Lili Lang and et al.2020. A low temperature functioning CoFeB/MgO-based perpendicular magnetic tunnel junction for cryogenic nonvolatile random access memory. Appl. Phys. Lett. 116, 2 (2020).
    [72]
    Yann LeCun, Léon Bottou, Yoshua Bengio, Patrick Haffner, et al. 1998. Gradient-based learning applied to document recognition. Proc. IEEE 86, 11 (1998), 2278–2324.
    [73]
    S. H. Lee, H. C. Park, M. S. Kim, H. W. Kim, M. R. Choi, H. G. Lee, J. W. Seo, S. C. Kim, S. G. Kim, S. B. Hong, et al. 2011. Highly productive PCRAM technology platform and full chip operation: Based on 4F 2 (84nm pitch) cell scheme for 1 Gb and beyond. In Proceedings of the International Electron Devices Meeting. IEEE, 3–3.
    [74]
    LEO Temperatures 2021. Retrieved from https://www.oreilly.com/library/view/diy-satellite-platforms/978144931 2756/ch01s05.html.
    [75]
    Vladimir Leonov. 2013. Thermoelectric energy harvesting of human body heat for wearable sensors. IEEE Sensors J. 13, 6 (2013), 2284–2291.
    [76]
    Shuangchen Li, Cong Xu, Qiaosha Zou, Jishen Zhao, Yu Lu, and Yuan Xie. 2016. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In Proceedings of the 53rd Annual Design Automation Conference. ACM, 173.
    [77]
    Shuang Liang, Shouyi Yin, Leibo Liu, Wayne Luk, and Shaojun Wei. 2018. FP-BNN: Binarized neural network on FPGA. Neurocomputing 275 (2018), 1072–1086.
    [78]
    Daofu Liu, Tianshi Chen, Shaoli Liu, Jinhong Zhou, Shengyuan Zhou, Olivier Teman, Xiaobing Feng, Xuehai Zhou, and Yunji Chen. 2015. Pudiannao: A polyvalent machine learning accelerator. In ACM SIGARCH Computer Architecture News, Vol. 43. ACM, 369–381.
    [79]
    Qingrui Liu and Changhee Jung. 2016. Lightweight hardware support for transparent consistency-aware checkpointing in intermittent energy-harvesting systems. In Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA). IEEE, 1–6.
    [80]
    Yongpan Liu, Zewei Li, Hehe Li, Yiqun Wang, Xueqing Li, Kaisheng Ma, Shuangchen Li, Meng-Fan Chang, Sampson John, Yuan Xie, et al. 2015. Ambient energy-harvesting nonvolatile processors: From circuit to system. In Proceedings of the 52nd Annual Design Automation Conference. ACM, 150.
    [81]
    R. Lowther, W. Morris, D. Gifford, D. Duff, and R. Fuller. 2011. Latchup immunity in high temperature bulk CMOS devices. In Proceedings of the Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT).000215–000220.
    [82]
    Brandon Lucia, Vignesh Balaji, Alexei Colin, Kiwan Maeng, and Emily Ruppel. 2017. Intermittent computing: Challenges and opportunities. In Proceedings of the 2nd Summit on Advances in Programming Languages (SNAPL’17). Schloss Dagstuhl-Leibniz-Zentrum fuer Informatik.
    [83]
    Brandon Lucia, Brad Denby, Zachary Manchester, Harsh Desai, Emily Ruppel, and Alexei Colin. 2021. Computational nanosatellite constellations: Opportunities and challenges. GetMobile: Mobile Comput. Commun. 25, 1 (2021), 16–23.
    [84]
    Brandon Lucia and Benjamin Ransford. 2015. A simpler, safer programming and execution model for intermittent systems. In ACM SIGPLAN Notices, Vol. 50. ACM, 575–585.
    [85]
    Giedrius Lukosevicius, Alberto Rodriguez Arreola, and Alex S. Weddell. 2017. Using sleep states to maximize the active time of transient computing systems. In Proceedings of the 5th ACM International Workshop on Energy-Harvesting and Energy-Neutral Sensing Systems. ACM, 31–36.
    [86]
    Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, and Vijaykrishnan Narayanan. 2017. Incidental computing on IoT nonvolatile processors. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’17). IEEE, 204–218.
    [87]
    Kaisheng Ma, Xueqing Li, Huichu Liu, Xiao Sheng, Yiqun Wang, Karthik Swaminathan, Yongpan Liu, Yuan Xie, John Sampson, and Vijaykrishnan Narayanan. 2017. Dynamic power and energy management for energy-harvesting nonvolatile processor systems. ACM Trans. Embedded Comput. Syst. 16, 4 (2017), 1–23.
    [88]
    Kaisheng Ma, Yang Zheng, Shuangchen Li, Karthik Swaminathan, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, and Vijaykrishnan Narayanan. 2015. Architecture exploration for ambient energy-harvesting nonvolatile processors. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). IEEE, 526–537.
    [89]
    Kiwan Maeng, Alexei Colin, and Brandon Lucia. 2017. Alpaca: Intermittent execution without checkpoints. Proc. ACM Program. Lang. 1 (2017), 96.
    [90]
    Kiwan Maeng and Brandon Lucia. 2018. Adaptive dynamic checkpointing for safe efficient intermittent computing. In Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation (OSDI’18). 129–144.
    [91]
    Milos Manic, Kasun Amarasinghe, Juan J. Rodriguez-Andina, and Craig Rieger. 2016. Intelligent buildings of the future: Cyberaware, deep learning powered, and human interacting. IEEE Industr. Electron. Mag. 10, 4 (2016), 32–49.
    [92]
    David G. Mavis and Paul H. Eaton. 2002. Soft error rate mitigation techniques for modern microcircuits. In Proceedings of the 40th Annual IEEE International Reliability Physics Symposium. IEEE, 216–225.
    [93]
    S. Mizukami, D. Watanabe, M. Oogane, Y. Ando, Y. Miura, M. Shirai, and T. Miyazaki. 2009. Low damping constant for Co2 FeAl Heusler alloy films and its correlation with density of states. J. Appl. Phys. 105, 7 (2009), 07D306.
    [94]
    Eric Arturo Montoya, Jen-Ru Chen, Randy Ngelale, Han Kyu Lee, Hsin-Wei Tseng, Lei Wan, En Yang, Patrick Braganca, Ozdal Boyraz, Nader Bagherzadeh, et al. 2020. Immunity of nanoscale magnetic tunnel junctions with perpendicular magnetic anisotropy to ionizing radiation. Sci. Rep. 10, 1 (2020), 1–8.
    [95]
    Michael Nicolaidis. 1999. Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE VLSI Test Symposium. IEEE, 86–94.
    [96]
    Hiroki Noguchi, Kazutaka Ikegami, Keiichi Kushida, Keiko Abe, Shogo Itai, Satoshi Takaya, Naoharu Shimomura, Junichi Ito, Atsushi Kawasumi, Hiroyuki Hara, et al. 2015. 7.5 A 3.3 ns-access-time 71.2 \(\mu\) W/MHz 1Mb embedded STT-MRAM using physically eliminated read-disturb scheme and normally-off memory architecture. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’15). IEEE, 1–3.
    [97]
    Fabian Oboril, Rajendra Bishnoi, Mojtaba Ebrahimi, and Mehdi B. Tahoori. 2015. Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 3 (2015), 367–380.
    [98]
    Roystein Oliveira, Aditya Jagirdar, and Tapan J. Chakraborty. 2007. A TMR scheme for SEU mitigation in scan flip-flops. In Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED’07). IEEE, 905–910.
    [99]
    Bishnu Patra and et al.2017. Cryo-CMOS circuits and systems for quantum computing applications. IEEE J. Solid-State Circ. 53, 1 (2017).
    [100]
    J. Thomas Pawlowski. 2011. Hybrid memory cube (HMC). In Proceedings of the IEEE Hot Chips 23 Symposium (HCS’11). IEEE, 1–24.
    [101]
    Keni Qiu, Nicholas Jao, Mengying Zhao, Cyan Subhra Mishra, Gulsum Gudukbay, Sethu Jose, Jack Sampson, Mahmut Taylan Kandemir, and Vijaykrishnan Narayanan. 2020. ResiRCA: A resilient energy-harvesting ReRAM crossbar-based accelerator for intelligent embedded processors. In Proceedings of the IEEE International Symposium on High Performance Computer Architecture (HPCA’20). IEEE, 315–327.
    [102]
    R Core Team. 2016. R: A Language and Environment for Statistical Computing. R Foundation for Statistical Computing, Vienna, Austria. Retrieved from https://www.R-project.org/.
    [103]
    Yogesh K. Ramadass and Anantha P. Chandrakasan. 2007. Voltage scalable switched capacitor DC-DC converter for ultra-low-power on-chip applications. In Proceedings of the IEEE Power Electronics Specialists Conference. IEEE, 2353–2359.
    [104]
    Benjamin Ransford, Jacob Sorber, and Kevin Fu. 2011. Mementos: System support for long-running computation on RFID-scale devices. In ACM SIGARCH Computer Architecture News, Vol. 39. ACM, 159–170.
    [105]
    Fanghui Ren, Albrecht Jander, Pallavi Dhagat, and Cathy Nordman. 2012. Radiation tolerance of magnetic tunnel junctions with MgO tunnel barriers. IEEE Trans. Nuclear Sci. 59, 6 (2012), 3034–3038.
    [106]
    Salonik Resch, Husrev Cilasun, and Ulya Karpuzcu. 2021. Cryogenic PIM: Challenges and opportunities. IEEE Comput. Architect. Lett. (2021).
    [107]
    Salonik Resch, S. Karen Khatamifard, Zamshed I. Chowdhury, Masoud Zabihi, Zhengyang Zhao, Husrev Cilasun, Jian-Ping Wang, Sachin S. Sapatnekar, and Ulya R. Karpuzcu. 2020. MOUSE: Inference in non-volatile memory for energy-harvesting applications. In Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’20). IEEE, 400–414.
    [108]
    Salonik Resch, S. Karen Khatamifard, Zamshed Iqbal Chowdhury, Masoud Zabihi, Zhengyang Zhao, Jian-Ping Wang, Sachin S. Sapatnekar, and Ulya R. Karpuzcu. 2019. PIMBALL: Binary neural networks in spintronic memory. ACM Trans. Architect. Code Optimiz. 16, 4 (2019), 41.
    [109]
    Siavash Rezaei, Kanghee Kim, and Eli Bozorgzadeh. 2018. Scalable multi-queue data transfer scheme for fpga-based multi-accelerators. In Proceedings of the IEEE 36th International Conference on Computer Design (ICCD’18). IEEE, 374–380.
    [110]
    Emily Ruppel and Brandon Lucia. 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. 1085–1100.
    [111]
    Emily Ruppel and Brandon Lucia. 2019. Transactional concurrency control for intermittent, energy-harvesting computing systems. In Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation. ACM, 1085–1100.
    [112]
    Daisuke Saida, Saori Kashiwada, Megumi Yakabe, Tadaomi Daibou, Naoki Hase, Miyoshi Fukumoto, Shinji Miwa, Yoshishige Suzuki, Hiroki Noguchi, Shinobu Fujita, et al. 2016. Sub-3 ns pulse with sub-100 \(\mu\) A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 1–2.
    [113]
    Daisuke Saida, Saori Kashiwada, Megumi Yakabe, Tadaomi Daibou, Naoki Hase, Miyoshi Fukumoto, Shinji Miwa, Yoshishige Suzuki, Hiroki Noguchi, Shinobu Fujita, et al. 2016. Sub-3 ns pulse with sub-100 \(\mu\) A switching of 1x–2x nm perpendicular MTJ for high-performance embedded STT-MRAM towards sub-20 nm CMOS. In Proceedings of the IEEE Symposium on VLSI Technology. IEEE, 1–2.
    [114]
    Alanson P. Sample, Daniel J. Yeager, Pauline S. Powledge, Alexander V. Mamishev, and Joshua R. Smith. 2008. Design of an RFID-based battery-free programmable sensing platform. IEEE Trans. Instrument. Measure. 57, 11 (2008), 2608–2615.
    [115]
    Joshua San Miguel, Karthik Ganesan, Mario Badr, Chunqiu Xia, Rose Li, Hsuan Hsiao, and Natalie Enright Jerger. 2018. The EH model: Early design space exploration of intermittent processor architectures. In Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’18). IEEE, 600–612.
    [116]
    H. Sato, E. C. I. Enobio, M. Yamanouchi, S. Ikeda, S. Fukami, S. Kanai, F. Matsukura, and H. Ohno. 2014. Properties of magnetic tunnel junctions with a MgO/CoFeB/Ta/CoFeB/MgO recording structure down to junction diameter of 11 nm. Appl. Phys. Lett. 105, 6 (2014), 062403.
    [117]
    Selahattin Sayil. 2016. Soft Error Mechanisms, Modeling and Mitigation. Springer.
    [118]
    Selahattin Sayil. 2019. A survey of circuit-level soft error mitigation methodologies. Analog Integr. Circ. Signal Process. 99, 1 (2019), 63–70.
    [119]
    Selahattin Sayil, Archit H. Shah, Md Adnan Zaman, and Mohammad A. Islam. 2015. Soft error mitigation using transmission gate with varying gate and body bias. IEEE Design Test 34, 1 (2015), 47–56.
    [120]
    Ronald D. Schrimpf and Daniel M. Fleetwood. 2004. Radiation Effects and Soft Errors in Integrated Circuits and Electronic Devices, Vol. 34. World Scientific.
    [121]
    Mingoo Seok, Scott Hanson, Yu-Shiang Lin, Zhiyoong Foo, Daeyeon Kim, Yoonmyung Lee, Nurrachman Liu, Dennis Sylvester, and David Blaauw. 2008. The phoenix processor: A 30pW platform for sensor applications. In Proceedings of the IEEE Symposium on VLSI Circuits. IEEE, 188–189.
    [122]
    Vivek Seshadri, Donghyuk Lee, Thomas Mullins, Hasan Hassan, Amirali Boroumand, Jeremie Kim, Michael A. Kozuch, Onur Mutlu, Phillip B. Gibbons, and Todd C. Mowry. 2017. Ambit: In-memory accelerator for bulk bitwise operations using commodity DRAM technology. In Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture. ACM, 273–287.
    [123]
    Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R Stanley Williams, and Vivek Srikumar. 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. ACM SIGARCH Computer Architecture News 44, 3 (2016), 14–26.
    [124]
    M Shin and et al.2014. Low temperature characterization of 14nm FDSOI CMOS devices. In Proceedings of the 11th International Workshop on Low Temperature Electronics (WOLTE’14).
    [125]
    Gagandeep Singh, Lorenzo Chelini, Stefano Corda, Ahsan Javed Awan, Sander Stuijk, Roel Jordans, Henk Corporaal, and Albert-Jan Boonstra. 2018. A review of near-memory computing architectures: Opportunities and challenges. In Proceedings of the 21st Euromicro Conference on Digital System Design (DSD’18). IEEE, 608–617.
    [126]
    Fang Su, Wei-Hao Chen, Lixue Xia, Chieh-Pu Lo, Tianqi Tang, Zhibo Wang, Kuo-Hsiang Hsu, Ming Cheng, Jun-Yi Li, Yuan Xie, et al. 2017. A 462GOPs/J RRAM-based nonvolatile intelligent processor for energy-harvesting IoE system featuring nonvolatile logics and processing-in-memory. In Proceedings of the Symposium on VLSI Technology. IEEE, T260–T261.
    [127]
    Xiaoyu Sun, Xiaochen Peng, Pai-Yu Chen, Rui Liu, Jae-sun Seo, and Shimeng Yu. 2018. Fully parallel RRAM synaptic array for implementing binary neural network with (+1, \(-1\) ) weights and (+1, 0) neurons. In Proceedings of the 23rd Asia and South Pacific Design Automation Conference. IEEE Press, 574–579.
    [128]
    Tianqi Tang, Lixue Xia, Boxun Li, Yu Wang, and Huazhong Yang. 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.
    [129]
    Tianqi Tang, Lixue Xia, Boxun Li, Yu Wang, and Huazhong Yang. 2017. Binary convolutional neural network on rram. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.
    [130]
    Tianqi Tang, Lixue Xia, Boxun Li, Yu Wang, and Huazhong Yang. 2017. Binary convolutional neural network on RRAM. In Proceedings of the 22nd Asia and South Pacific Design Automation Conference (ASP-DAC’17). IEEE, 782–787.
    [131]
    Mahdi Torabzadehkashi, Siavash Rezaei, Vladimir Alves, and Nader Bagherzadeh. 2018. Compstor: An in-storage computation platform for scalable distributed processing. In Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW’18). IEEE, 1260–1267.
    [132]
    Mahdi Torabzadehkashi, Siavash Rezaei, Ali Heydarigorji, Hosein Bobarshad, Vladimir Alves, and Nader Bagherzadeh. 2019. Catalina: In-storage processing acceleration for scalable big data analytics. In Proceedings of the 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP’19). IEEE, 430–437.
    [133]
    UCI Machine Learning Repository 2019. Retrieved from https://archive.ics.uci.edu/ml/datasets/human+activity+recognition+using+smartphones.
    [134]
    Yaman Umuroglu, Nicholas J. Fraser, Giulio Gambardella, Michaela Blott, Philip Leong, Magnus Jahre, and Kees Vissers. 2017. Finn: A framework for fast, scalable binarized neural network inference. In Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays. ACM, 65–74.
    [135]
    Hossein Valavi, Peter J. Ramadge, Eric Nestler, and Naveen Verma. 2019. A 64-tile 2.4-Mb in-memory-computing CNN accelerator employing charge-domain compute. IEEE J. Solid-State Circ. 54, 6 (2019), 1789–1799.
    [136]
    Joel Van Der Woude and Matthew Hicks. 2016. Intermittent computation without hardware support or programmer intervention. In Proceedings of the12th USENIX Symposium on Operating Systems Design and Implementation (OSDI’16). 17–32.
    [137]
    Deepak Vasisht, Zerina Kapetanovic, Jongho Won, Xinxin Jin, Ranveer Chandra, Sudipta Sinha, Ashish Kapoor, Madhusudhan Sudarshan, and Sean Stratman. 2017. Farmbeats: An iot platform for data-driven agriculture. In Proceedings of the 14th USENIX Symposium on Networked Systems Design and Implementation (NSDI’17). 515–529.
    [138]
    Jingcheng Wang, Xiaowei Wang, Charles Eckert, Arun Subramaniyan, Reetuparna Das, David Blaauw, and Dennis Sylvester. 2019. A 28-nm compute SRAM with bit-serial logic/arithmetic operations for programmable in-memory vector computing. IEEE J. Solid-State Circ. 55, 1 (2019), 76–86.
    [139]
    Jian-Ping Wang, Mahdi Jamaliz, Angeline Klemm Smith, and Zhengyang Zhao. 2016. Magnetic tunnel junction based integrated logics and computational circuits. In Nanomagnetic and Spintronic Devices for Energy-Efficient Memory and Computing. Wiley, 133.
    [140]
    Qiwen Wang, Xinxin Wang, Seung Hwan Lee, Fan-Hsuan Meng, and Wei D. Lu. 2019. A deep neural network accelerator based on tiled RRAM architecture. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’19). IEEE, 14–4.
    [141]
    Harrison Williams, Xun Jian, and Matthew Hicks. 2020. Forget failure: Exploiting SRAM data remanence for low-overhead intermittent computation. In Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems. 69–84.
    [142]
    H.-S. Philip Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick T. Chen, and Ming-Jinn Tsai. 2012. Metal–oxide RRAM. Proc. IEEE 100, 6 (2012), 1951–1970.
    [143]
    Lixue Xia, Tianqi Tang, Wenqin Huangfu, Ming Cheng, Xiling Yin, Boxun Li, Yu Wang, and Huazhong Yang. 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Design Automation Conference (DAC’16). IEEE, 1–6.
    [144]
    Lixue Xia, Tianqi Tang, Wenqin Huangfu, Ming Cheng, Xiling Yin, Boxun Li, Yu Wang, and Huazhong Yang. 2016. Switched by input: Power-efficient structure for RRAM-based convolutional neural network. In Proceedings of the 53rd ACM/EDAC/IEEE Annual Design Automation Conference (DAC’16). ACM, 125.
    [145]
    Kodai Yamada, Haruki Maruoka, Jun Furuta, and Kazutoshi Kobayashi. 2018. Sensitivity to soft errors of NMOS and PMOS transistors evaluated by latches with stacking structures in a 65 nm FDSOI process. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS’18). IEEE, P–SE.
    [146]
    Jeng-Bang Yau and et al.2017. Hybrid cryogenic memory cells for superconducting computing applications. In Proceedings of the 35th International Cosmic Ray Conference (ICRC’17).
    [147]
    Mustafa Berke Yelten. [n.d.]. Cryogenic DC characteristics of low threshold voltage (VTH) n-channel MOSFETs. Balkan J. Electric. Comput. Eng. 7, 3 ([n.d.]).
    [148]
    Shimeng Yu, Zhiwei Li, Pai-Yu Chen, Huaqiang Wu, Bin Gao, Deli Wang, Wei Wu, and He Qian. 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.
    [149]
    Shimeng Yu, Zhiwei Li, Pai-Yu Chen, Huaqiang Wu, Bin Gao, Deli Wang, Wei Wu, and He Qian. 2016. Binary neural network with 16 Mb RRAM macro chip for classification and online training. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’16). IEEE, 16–2.
    [150]
    L. Yuan and et al.2006. Temperature dependence of magnetoresistance in magnetic tunnel junctions with different free layer structures. Phys. Rev. B 73, 13 (2006).
    [151]
    Masoud Zabihi, Zamshed Iqbal Chowdhury, Zhengyang Zhao, Ulya R. Karpuzcu, Jian-Ping Wang, and Sachin S. Sapatnekar. 2018. In-memory processing on the spintronic CRAM: From hardware design to application mapping. IEEE Trans. Comput. 68, 8 (2018), 1159–1173.
    [152]
    Masoud Zabihi, Arvind K. Sharma, Meghna G. Mankalale, Zamshed Iqbal Chowdhury, Zhengyang Zhao, Salonik Resch, Ulya R. Karpuzcu, Jian-Ping Wang, and Sachin S. Sapatnekar. 2020. Analyzing the effects of interconnect parasitics in the STT CRAM in-memory computational platform. IEEE J. Explor. Solid-State Comput. Dev. Circ. 6, 1 (2020), 71–79.
    [153]
    Masoud Zabihi, Zhengyang Zhao, D. C. Mahendra, Zamshed I. Chowdhury, Salonik Resch, Thomas Peterson, Ulya R. Karpuzcu, Jian-Ping Wang, and Sachin S. Sapatnekar. 2019. Using spin-Hall MTJs to build an energy-efficient in-memory computation platform. In Proceedings of the 20th International Symposium on Quality Electronic Design (ISQED). IEEE, 52–57.
    [154]
    Stephanie A. Zajac, Amanda N. Bozovich, Bernard G. Rax, Joe Davila, Duc Nguyen, Wilson P. Parker, Aaron J. Kenna, Steven S. McClure, Jason L. Thomas, Kelly W. Stanford, et al. [n.d.]. Updated compendium of total ionizing dose (TID) test results for the europa clipper mission. In Proceedings of the IEEE Radiation Effects Data Workshop (in Conjunction with 2020 NSREC). IEEE, 1–4.
    [155]
    Jintao Zhang and Naveen Verma. 2019. An in-memory-computing DNN achieving 700 TOPS/W and 6 TOPS/mm 2 in 130-nm CMOS. IEEE J. Emerg. Select. Top. Circ. Syst. 9, 2 (2019), 358–366.
    [156]
    Quming Zhou, Mihir R. Choudhury, and Kartik Mohanram. 2008. Tunable transient filters for soft error rate reduction in combinational circuits. In Proceedings of the 13th European Test Symposium. IEEE, 179–184.
    [157]
    Quming Zhou and Kartik Mohanram. 2005. Gate sizing to radiation harden combinational logic. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 25, 1 (2005), 155–166.

    Cited By

    View all
    • (2024)CRAM-Based Acceleration for Intermittent Computing of Parallelizable TasksIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329342612:1(48-59)Online publication date: Jan-2024
    • (2023)Fine-grained Hardware Acceleration for Efficient Batteryless Intermittent Inference on the EdgeACM Transactions on Embedded Computing Systems10.1145/360847522:5(1-19)Online publication date: 26-Sep-2023
    • (2023)A Survey of Next-generation Computing Technologies in Space-air-ground Integrated NetworksACM Computing Surveys10.1145/360601856:1(1-40)Online publication date: 28-Aug-2023
    • Show More Cited By

    Index Terms

    1. Energy-efficient and Reliable Inference in Nonvolatile Memory under Extreme Operating Conditions

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 21, Issue 5
      September 2022
      526 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/3561947
      • Editor:
      • Tulika Mitra
      Issue’s Table of Contents

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 09 December 2022
      Online AM: 04 March 2022
      Accepted: 19 February 2022
      Revised: 29 January 2022
      Received: 15 July 2021
      Published in TECS Volume 21, Issue 5

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Processing in memory
      2. beyond-edge computing

      Qualifiers

      • Research-article
      • Refereed

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)182
      • Downloads (Last 6 weeks)6
      Reflects downloads up to 10 Aug 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)CRAM-Based Acceleration for Intermittent Computing of Parallelizable TasksIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2023.329342612:1(48-59)Online publication date: Jan-2024
      • (2023)Fine-grained Hardware Acceleration for Efficient Batteryless Intermittent Inference on the EdgeACM Transactions on Embedded Computing Systems10.1145/360847522:5(1-19)Online publication date: 26-Sep-2023
      • (2023)A Survey of Next-generation Computing Technologies in Space-air-ground Integrated NetworksACM Computing Surveys10.1145/360601856:1(1-40)Online publication date: 28-Aug-2023
      • (2022)Deep Learning on Energy Harvesting IoT Devices: Survey and Future ChallengesIEEE Access10.1109/ACCESS.2022.322509210(124999-125014)Online publication date: 2022

      View Options

      Get Access

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Full Text

      View this article in Full Text.

      Full Text

      HTML Format

      View this article in HTML Format.

      HTML Format

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media