Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/329166.329171acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

The effect of LUT and cluster size on deep-submicron FPGA performance and density

Published: 01 February 2000 Publication History
  • Get Citation Alerts
  • Abstract

    We use a fully timing-driven experimental flow [4] [15] in which a set of benchmark circuits are synthesized into different cluster-based [2] [3] [15] logic block architectures, which contain groups of LUTs and flip-flops. We look across all architectures with LUT sizes in the range of 2 inputs to 7 inputs, and cluster size from 1 to 10 LUTs. In order to judge the quality of the architecture we do both detailed circuit level design and measure the demand of routing resources for every circuit in each architecture.
    These experiments have resulted in several key contributions. First, we have experimentally determined the relationship between the number of inputs required for a cluster as a function of the LUT size (K) and cluster size (N). Second, contrary to previous results, we have shown that when the cluster size is greater than four, that smaller LUTs (size 2 and 3) are almost as area efficient as 4-input LUTs, as suggested in [11]. However, our results also show that the performance of FPGAs with these small LUT sizes is significantly worse (by almost a factor of 2) than larger LUTs. Hence, as measured by area-delay product, or by performance, these would be a bad choice. Also, we have discovered that LUT sizes of 5 and 6 produce much better area results than were previously believed. Finally, our results show that a LUT size of 4 to 6 and cluster size of between 4 and 10 provides the best area-delay product for an FPGA.

    References

    [1]
    O. Agmwal, H. Chang, B. Shatpv.Geisler, N. Schmitz, B. Nguyen, J. Wong, G. Tmn, E Fontana and B. Harding, "An Innovative, Segmented High Performance FPGA Family with Variable-Grain-Architecture and Wide-gatting Functions", FPGA'99, Monterey, CA, 1999.
    [2]
    V. Betz and J. Rose, "Cluster-Based Logic Blocks for FPGAs: Area-Efficiency vs. Input Sharing and Size", IEEE Custom Integrated Circuits Conference, Santa Clam, CA, 1997, pp. 551- 554.
    [3]
    V. Betz and J. Rose, "How Much Logic Should Go in an FPGA Logic Block?", IF.E,E Design and Test Magazine, Spring 1998, pp. 10-15.
    [4]
    V. Betz, J. Rose and A. Marquardt, "Architecture and CAD for Deep-Submieron FPGAs", Kluwer Academic Publishers, 1999.
    [5]
    S. Brown, R. Francis, J. Rose and Z. Vranesie, 'Tield- Programmable Gate Arrays", Kluwer Academic Publishers, 1992.
    [6]
    S. Brown and J. Rose, "FPGA and CPLD Architectures: A Tutorial", IEEE Design & Test of Computers, Summer 1996, pp.42-57.
    [7]
    Kevin Chung, PhD Thesis: "Architecture and Synthesis of Field-Programmable Gate Arrays with Hardwired Connections", University of Toronto, 1994.
    [8]
    J.Cong and Y.Ding,"FlowMap: An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs", IEEE Trans. on CAD, Jan. 1994, pp.l-12.
    [9]
    J. Cong and Y. Hwang, "Booleean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation", FPGA 98, Monterey, CA, 1998.
    [10]
    D. Hill and N-S Woo, "The Benefits of Flexibility in Look-up Table FPGAs', in FPGAs, W. Moore and W. Luk Eds., Abingdon 1991, edited from the Oxford 1991 International Workshop on FPGAs, pp. 127-136.
    [11]
    S. Kaptanoglu, G. Bakker, A. Kundu and I. Comeillet "A new high density and very low cost reprogrammabie FPGA archilecture", FPGA'99, Monterey, CA, 1999.
    [12]
    J. Kouloheris and A.EI Gamal, "FPGA Performance vs. Cell Granularity", Proc. of Custom Integrated Circuits Conference, May 1991, pp. 6.2.1 - 6.2.4.
    [13]
    J. Kouioheris and A.EI Gamal, "FPGA Area vs. Cell Granularity - Lookup Tables and PLA Cells", First ACM Workshop on FPGA's, FPGA '92, Berkeley, CA, February 1992.
    [14]
    J. Kouioheris and A.EI Gamal, "FPGA Area vs. Cell Granularity - PLA Cells", Proc. of Custom Integrated Circuits Conference, May 1992.
    [15]
    A. Ma~uardt, "M.A.Sc Thesis: Cluster-Based Architecture, Timing-Driven Packing, and Timing-Driven Placement for FP- GAs", University of Toronto, 1999.
    [16]
    A. Marquardt, V. Betz and J. Rose, "Using Cluster-Based Logic Blocks and Timing-Driven Packing to Improve FPGA Speed and Density", ACM/SIGDA FPGA 99, 1999.
    [17]
    J. Rose, R.J. Francis, P. Chow and D. Lewis, "The Effect of Logic Block Complexity on Area of Programmable Arrays", Proc. 1989 Custom Integrated Circuits Conference, May 1989, pp. 5.3.1-5.3.5.
    [18]
    J. Rose, RJ. Francis, D. Lewis and P. Chow, "Architecture of Field-Programmable Gate Arrays: The Effect of Logic Functionality on Area Efficiency", IEEE Journal of Solid-State Circuils, 1990.
    [19]
    A. Sedra and K. Smith, "Microelecltouic Circuits: Third Edition", Oxford University Press, 1991.
    [20]
    E.M, Sentovich et al, "SIS: A System for Sequential Circuit Analysis", Tech. Report No. UCB/ERL M92/41, University of California, Berkeley, 1990.
    [21]
    S. Singh, "The Effect of Logic Block Architecture on FPGA Performance", M.A.Sc. Thesis, University of Toronto, 1991.
    [22]
    S. Singh, J. Rose, P. Chow and D. Lewis, "The Effect of Logic Block Architecture on FPGA Performance", IEEE Journal of Solid-State Circuits, 1992.
    [23]
    N. West and K. Eshraghian, "Principles of CMOS VLSI Design; A System Perspective; Second Edition", Addison Wesley, 1993.
    [24]
    S. Yang, "Logic Synthesis and Optimization Benchmarks, Version 3.0", Tech. Report, Microelectronics Centre of North Carolina, 1991.

    Cited By

    View all
    • (2024)Emerging Reconfigurable Logic Device Based FPGA Design and Optimization2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528778(1-8)Online publication date: 3-Apr-2024
    • (2024)Efficient LUT Design Methodologies of Transformation between RGB and HSV for HSV Based Image EnhancementsJournal of Electrical Engineering & Technology10.1007/s42835-024-01859-yOnline publication date: 16-Mar-2024
    • (2023)Field‐programmable Gate ArraysDesign for Embedded Image Processing on FPGAs10.1002/9781119819820.ch2(19-44)Online publication date: 5-Sep-2023
    • Show More Cited By

    Index Terms

    1. The effect of LUT and cluster size on deep-submicron FPGA performance and density

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      FPGA '00: Proceedings of the 2000 ACM/SIGDA eighth international symposium on Field programmable gate arrays
      February 2000
      223 pages
      ISBN:1581131933
      DOI:10.1145/329166
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 01 February 2000

      Permissions

      Request permissions for this article.

      Check for updates

      Qualifiers

      • Article

      Conference

      FPGA00
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 125 of 627 submissions, 20%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)208
      • Downloads (Last 6 weeks)13

      Other Metrics

      Citations

      Cited By

      View all
      • (2024)Emerging Reconfigurable Logic Device Based FPGA Design and Optimization2024 25th International Symposium on Quality Electronic Design (ISQED)10.1109/ISQED60706.2024.10528778(1-8)Online publication date: 3-Apr-2024
      • (2024)Efficient LUT Design Methodologies of Transformation between RGB and HSV for HSV Based Image EnhancementsJournal of Electrical Engineering & Technology10.1007/s42835-024-01859-yOnline publication date: 16-Mar-2024
      • (2023)Field‐programmable Gate ArraysDesign for Embedded Image Processing on FPGAs10.1002/9781119819820.ch2(19-44)Online publication date: 5-Sep-2023
      • (2021)Field-Programmable Gate ArrayEncyclopedia of Information Science and Technology, Fifth Edition10.4018/978-1-7998-3479-3.ch020(257-270)Online publication date: 2021
      • (2019)Optimizing FPGA-Based Streaming Applications for Throughput Using Pipelining2019 International Conference on Field-Programmable Technology (ICFPT)10.1109/ICFPT47387.2019.00065(351-354)Online publication date: Dec-2019
      • (2018)Improving FPGA Performance with a S44 LUT StructureProceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays10.1145/3174243.3174272(61-66)Online publication date: 15-Feb-2018
      • (2018)Self Clock-Gating Scheme for Low Power Basic Logic Element ArchitectureWireless Personal Communications: An International Journal10.1007/s11277-018-5385-2102:4(3477-3488)Online publication date: 1-Oct-2018
      • (2017)Evaluating FPGA clusters under wide ranges of design parameters2017 27th International Conference on Field Programmable Logic and Applications (FPL)10.23919/FPL.2017.8056826(1-8)Online publication date: Sep-2017
      • (2017)Exploration of FPGA architectures for tight coupled accelerators in a 22nm FDSOI technology2017 IEEE Nordic Circuits and Systems Conference (NORCAS): NORCHIP and International Symposium of System-on-Chip (SoC)10.1109/NORCHIP.2017.8124946(1-6)Online publication date: Oct-2017
      • (2017)Optimizing routing network of shared hardware design for multiple application circuits2017 First International Conference on Latest trends in Electrical Engineering and Computing Technologies (INTELLECT)10.1109/INTELLECT.2017.8277646(1-4)Online publication date: Nov-2017
      • Show More Cited By

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media