Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                

Course Coordinator Module Coordinator

Download as pdf or txt
Download as pdf or txt
You are on page 1of 166

CMR COLLEGE OF ENGINEERING & TECHNOLOGY

(AUTONOMOUS)
(A1425) VLSI DESIGN
VII - SEMESTER L T P C
4 1 0 4

Course coordinator Module coordinator


Course objective
The objective of the course is to
• Give exposure to different steps involved in the fabrication of ICs using MOS transistors,
CMOS/BICMOS transistors and passive components
• Explain electrical properties of MOS and BICMOS devices to analyze the behaviour of inverters
designed with various loads.
• Provide concept to design different types of logic gates using CMOS inverter and analyze their
transfer characteristics.
• Provide design concepts to design building blocks of data path of any system using gates.
• Understand basic programmable logic devices and testing of CMOS circuits.

UNIT- I:
Introduction: Review of Semiconductors, Introduction to IC Technology, PMOS, NMOS,
CMOS & BiCMOS technologies, Steps involved in Fabrication Process.
Basic Electrical Properties: Basic Electrical Properties of MOS and Bi CMOS Circuits: Ids-
Vds relationships, MOS transistor threshold Voltage, Transconductance, figure of merit,
NMOS Inverter, Various pull ups, CMOS Inverter analysis and design, Bi-CMOS Inverters.

UNIT- II:
VLSI Circuit Design Processes :VLSI Design Flow, Design Constraints, MOS Layers,
Stick Diagrams, Design Rules and Layout, 2 um CMOS Design rules for wires, Contacts and
Transistors Layout Diagrams for NMOS and CMOS Inverters and Gates, Scaling of MOS
circuits, Limitations of Scaling.CAD tools

UNIT- III:
Gate Level Design: Logic Gates and Other complex gates, Switch logic, Alternate gate
circuits, Basic circuit concepts, Concept of Sheet Resistance and Area Capacitance, Delays,
Driving large Capacitive Loads, Wiring Capacitances, Fan-in and fan-out, Choice of layers.

UNIT- IV:
System Level Design Considerations: ALU unit, Multipliers, Parity generators,
Comparators, Zero/One Detectors, Counters, High Density Memory Elements. SRAM,
DRAM, ROM, Serial access memories, Content Addressable Memory.
UNIT- V:
Programmable logic Devices: PLD’s, CPLD’s, FPGAs, Standard Cells, sea of gates, Design
Approach, Parameters influencing low power design.
CMOS Testing: Need and importance of testing, CMOS Testing, Test Principles, Design
Strategies for test, Chip level Test Techniques.

TEXTBOOKS:
1. Essentials of VLSI circuits and systems – Kamran Eshraghian, Eshraghian Dougles and A.
Pucknell, PHI, tion.
2. CMOS VLSI Design – A Circuits and Systems Perspective, Neil.H.E.Weste a, David
Harris, Ayan Banerjee, 3rd Ed, Pearson Education, 2009.
3 .VLSI Technology SM SZE.

REFERENCES:
1. Introduction to VLSI Systems: A Logic, Circuit and System Perspective - Ming-BO Lin,
CRC Press, 2011
2. CMOS logic circuit Design - John .P. Uyemura, Springer, 2007.
3. Modern VLSI Design - Wayne Wolf, Pearson Education, 3rd Edition, 1997.
4. VLSI Design- K .Lal Kishore, V. S. V. Prabhakar, I.K International, 2009.
5. Introduction to VLSI - Mead & Convey, BS Publications, 2010.

Course Outcomes:
• Upon successfully completing the course, the student should be able to:
• Acquire qualitative knowledge about the fabrication process of integrated circuit
using MOS transistors.
• Choose an appropriate inverter depending on specifications required for a circuit
• Draw the layout of any logic circuit which helps to understand and estimate parasitic
of any logic circuit
• Design different types of logic gates using CMOS inverter and analyze their transfer
characteristics
• Provide design concepts required to design building blocks of data path using gates.
• Design simple memories using MOS transistors and can understand
• Design of large memories.
• Design simple logic circuit using PLA, PAL, FPGA and CPLD.
• Understand different types of faults that can occur in a system and learn the concept
of testing and adding extra hardware to improve testability of system
TEACHING NOTES

UNIT-I
INTRODUCTION
Contents:
➢ Introduction to IC Technology
➢ MOS, PMOS, NMOS, CMOS & Bi CMOS technologies
➢ Oxidation, Lithography,
➢ Diffusion, Ion implantation,
➢ Metallisation, Encapsulation,
➢ Probe testing
➢ Integrated Resistors and Capacitors.
BASIC ELECTRICAL PROPERTIES
➢ Basic Electrical Properties of MOS and Bi CMOS Circuits
➢ Ids-V ds relationships
➢ MOS transistor threshold Voltage
➢ gm, gds, figure of merit
➢ Pass transistor
➢ NMOS Inverter
➢ Various pull ups
➢ CMOS Inverter analysis and design
➢ Bi-CMOS Inverters.
UNIT-I
Introduction to VLSI Technology:
In 1958, the first IC flipflop with two transistors was built by jack kilby at texas
Instruments. In 2003, Intel Pentium IV processor contained 55 million transistors and a 512
mbit DRAM contained more than half a billion transistors. This corresponds to the
compound annual growth of 53% over 45 years.

This incredible growth has come from the steady miniaturization of transistors and
improvement in the manufacturing process. As transistors become smaller, they also become
faster, dissipate less power and cheaper to manufacture. Bipolar transistors which were first
developed are reliable, less noise, more power efficient. Early IC’s used only bipolar
transistors. Transistors can be viewed as electrically controlled switches with a control
terminal and two other terminals that are connected or disconnected depending on the
voltage applied to the control.

Bipolar transistors require a small current into the control(base) terminal to switch
much larger currents between other two(emitter and collector) terminals. The quiescent
power dissipated by these base current limits the maximum no of transistors that can be
integrated onto a single die.

Metal oxide semiconductor field effect transistor (MOSFET’s) have a very good
advantage that they almost draw zero current while idle. Two flavors of MOS are NMOS
and PMOS which use n type and p type dopants.

Frank wanlass at Fairchild described the first logic gates using MOSFET’s in 1963,
using nmos and pmos transistors, (cmos). This circuits used discrete transistors but
consumed only nanowatts of power,(six orders or magnitude less than their bipolar counter
parts)

MOS transistors are advantageous because

1. they occupies less area


2. simple fabrication process
3. low cost
Early process used only PMOS transistor but suffered form poor performance, yield and
reliability. Processes using nmos transistors become dominant in 1970’s. NMOS process was less
expensive than cmos but nMOS logic gates still consumed power while idle.

Power consumption became a major issue in 1980’s as hundreds of thousands of transistors


were integrated onto a single die CMOS process were widely adopted and have essentially replaced
nMOS and bipolar process for nearly all digital logic applications. Gorden Moore observed in 1965
that plotting the number of transistors that can be made economically fabricated on chip gives a
straight line on a semilogarthmic scale. He found that transistor count doubling fot every 18
months. This observation is called Moore’s law.

LEVELS OF INTEGRATION OF CHIPS:

SSI(small scale Integration)---------less than 10 gates

MSI(Medium scale Integration)-----------upto 1000 gates

LSI(Large scale Integration)----------upto 10,000 gates

VLSI(very large scale Integration)----------More than 10,000 gates

ULSI(ultra large scale Integration)--------millions of gates

Pentium IV uses transistors with minimum dimensions of 130nm in 2003 and even further
smaller dimensions now a days. This scaling cannot go on forever because transistors cannot be
smallest than atoms. In the early 1990’s experts agreed that scaling would continue for at least a
decade.

PROCESS TECHNOLOGIES USED TODAY:

1. CMOS (complementary metal oxide semiconductor) technology.


2. Bipolar Technology
3. Bi CMOS Technology
4. SOI (Silicon on Insulator) Technology.

In metal Oxide semiconductor technology, metal gate electrode placed on top of an oxide
insulator. In today’s CMOS process, instead of metal, the gate electrode is comprise of a different
material, polysilicon as it can withstand high processing temperatures.
The majority of IC’s manufactured are cmos circuits due to three characteristics of cmos devices like
high noise immunity, low static power, high density.

1.2) Operation of MOS,PMOS,NMOS transistors :


1.3) Fabrication of CMOS inverter
Basic CMOS Technology

In early days of technology, the control gate of the MOS transistor was made with aluminum
instead of polycrystalline silicon. It was difficult to align the metal over the channel precisely; an
offset in one direction or other would create a non-functioning of the transistor. To overcome these
problems, the poly-silicon gate was introduced. This polysilicon would be deposited before
source/drain diffusion. During the diffusion, source and drain regions are self-aligned with respect to
the gate. This self-alignment structure reduces the device size. In addition, it eliminates the large
overlap capacitance between gate and drain, while maintaining a continuous inversion layer
between source and drain. In the case of metal gate process, Al deposition has to be carried out
almost at the end of fabrication because further high temperature processing would melt Al. In case
of self-aligned poly silicon gate technology, these restrictions are also circumvented.
Basic n-well CMOS process:

In a standard n-well process, one of the first things made is the n-well in a p type substrate.
Once the n-well is created, the active areas can be defined. The MOSFET is build within this active
area. A very thin layer of silicon dioxide is grown on the surface. This will be used to insulate the gate
from the surface. The thin layer of SiO2 is grown and covered with Si3N4. This will act as a mask
during the subsequent channel stop implant and field oxide growth. The channel stop implant is to
prevent conduction between unrelated transistor source/drains. A thick additional layer oxide grows
in both directions vertically where Si3N4 is absent. Layer of silicon dioxide under the polysilicon gate
(which will be created later) is known as gate oxide and that is not directly under the gate of a
transistor is known as field oxide. The field oxide provides isolation between transistors. A threshold
adjustment implant would be the next process step. This is carried out to balance off the threshold
voltage differences. The P-MOS results in a higher threshold voltage level than nMOS with normal
doping concentrations. With additional negative charges buried inside the channel, VT for pMOS
could be controlled.

(a)

Formation of n-well

(b)

Gate oxide covered with silicon nitride in the active areas


Polysilicon deposition is carried out and gate definition is then completed using the
mask shown in fig (c). Note that the connection between two gate inputs in a CMOS inverter
is achieved using the poly silicon. The source and drain diffusions for pMOS is carried out
using p-type diffusion. Boron is the most popular element used for this step. Similarly, source
and drain diffusions for nMOS is carried out using n-type diffusion. Phosphorous and Arsenic
can both be used for this step. Additional oxide is created, and then the contact holes are cut
in the oxide down to the diffusions and polysilicon. These contacts can be filled by metal
permitted to flow into the holes. The drains of pMOS and nMOS transistors are connected by
a metal line in order to take the output from the CMOS inverter.

Top view of Poly silicon mask

(d)

Poly silicon gate definition is completed

(e)

Transistor source/drain diffusion is completed


(F)Cross section of a CMOS inverter in an n-well process

P-well process

Prior to the n-well process p-well process was popular. P-well process is preferred in
circumstances where balanced characteristics of the nMOS and pMOS are needed. It has been
observed that the transistors in the native substrate tend to have better characteristics than that
was made in a well. Because p devices inherently have lower gain than devices, n well
process amplifies this difference while a p-well process moderates the difference. The
standard p-well process steps are is similar to n-well process, except that a p-well is
implanted instead of an n-well as a first step. Once the p-well is created, the active areas and
subsequently poly gates can be defined. Later diffusions can be carried out to create source
and drain regions. Finally, metal is deposited and patterned for contacts.

Twin-Tub process:

It is also possible to create both a p-well and an n-well for the n-MOSFET's and p-
MOSFET respectively in the twin well or twin tub technology. Such a choice means that the
process is independent of the dopant type of the starting substrate (provided it is only lightly
doped).

A simplified sketch of twin-well CMOS process cross section


Single crystal Si manufacture:
There are two main techniques for converting polycrystalline EGS into a single
crystal ingot, which are used to obtain the final wafers.
1. Czochralski technique (CZ) - this is the dominant technique for manufacturing single
crystals. It is especially suited for the large wafers that are currently used in IC fabrication.
2. Float zone technique - this is mainly used for small sized wafers.
The oat zone technique is used for producing specialty wafers that have low oxygen impurity
concentration.
1.Czochralski crystal growth technique:
A schematic of this growth process is shown in figure the various components of the process
are
1. Furnace
2. Crystal pulling mechanism
3. Ambient control - atmosphere
4. Control system
The starting material for the CZ process is electronic grade silicon, which is melted in
the furnace. To minimize contamination, the crucible is made of SiO2 or SiNx. The drawback
is that at the high temperature the inner liner of the crucible also starts melting and has to
replace periodically. The Figure Schematic of the Czochralski growth technique. The
polycrystalline silicon is melted and a single crystal seed is then used to nucleate a single
crystal ingot. The seed crystal controls the orientation of the single crystal.

Above Figure Schematic of the Czochralski growth technique. The polycrystalline


silicon is melted and a single crystal seed is then used to nucleate a single crystal ingot. The
seed crystal controls the orientation of the single crystal.

Above Figure Single crystal Si ingot. This is further processed to get the wafers that
are used for fabrication. Furnace is heated above 1500 oC, since Si melting point is 1412 oC.
A small seed crystal, with the desired orientation of the final wafer, is dipped in the molten Si
and slowly withdrawn by the crystal pulling mechanism. The seed crystal is also rotated
while it is being pulled, to ensure uniformity across the surface. The furnace is rotated in the
direction opposite to the crystal puller. The molten Si sticks to the seed crystal and starts to
solidify with the same orientation as the seed crystal is withdrawn. Thus, a single crystal
ingot is obtained. To create doped crystals, the dopant material is added to the Si melt so that
it can be incorporated in the growing crystal. The process control, i.e. speed of withdrawal
and the speed of rotation of the crystal puller, is crucial to obtain a good quality single
crystal. There is a feedback system that control this process. Similarly there is another
ambient gas control system. The final solidified Si obtained is the single crystal ingot. A 450
mm wafer ingot can be as heavy as 800 kg. A picture of an ingot is show in above figure.
2. Float zone technique
The float zone technique is suited for small wafer production, with low oxygen
impurity. The schematic of the process is shown in figure6. A polycrystalline EGS rod is
fused with the single crystal seed of desired orientation. This is taken in an inert gas furnace
and then melted along the length of the rod by a traveling radio frequency (RF) coil. The RF
coil starts from the fused region, containing the seed, and travels up, as shown in figure.
When the molten region solidifies, it has the same orientation as the seed. The furnace is
filled with an inert gas like argon to reduce gaseous impurities.

The above Figure Schematic of the float zone technique. The polycrystalline ingot is
fused with a seed crystal and locally melted by a traveling radio frequency coil. As the ingot
melts and resolidifes it has the same orientation as the seed.
Also, since no crucible is needed it can be used to produce oxygen ’free’ Si wafers. The
difficulty is to extend this technique for large wafers, since the process produces large
number of dislocations. It is used for small specialty applications requiring low oxygen
content wafers.
Wafer manufacturing
After the single crystal is obtained, this needs to be further processed to produce the
wafers. For this, the wafers need to be shaped and cut. Usually, industrial grade diamond
tipped saws are used for this process. The shaping operations consist of two steps
1. The seed and tang ends of the ingot are removed.
2. The surface of the ingot is ground to get a uniform diameter across the length of the
ingot.
Before further processing, the ingots are checked for resistivity and orientation. Resistivity is
checked by a four point probe technique and can be used to confirm the dopant concentration.
This is usually done along the length of the ingot to ensure uniformity. Orientation is
measured by x-ray diffraction at the ends (after grinding).
After the orientation and resistivity checks, one or more flats are ground along the length of
the ingot. There are two types of flats.
1. Primary flat - this is ground relative to a specific crystal direction. This acts as a visual
reference to the orientation of the wafer.
2. Secondary flat - this used for identification of the wafer, dopant type and orientation.

The different flat locations are shown in figure 7. p-type (111) Si has only one flat
(primary flat) while all other wafer types have two flats (with different orientations of the
secondary flats). The primary flat is typically longer than the secondary flat. Consider some
typical specs of 150 mm wafers, shown in table 4. Bow refers to the flatness of the wafer
while ∆t refers to the thickness variation across the wafer.
After making the flats, the individual wafers are sliced per the required thickness. Inner
diameter (ID) slicing is the most commonly used technique. The cutting edge is located on
the inside of the blade, as seen in figure 8. Larger wafers are usually thicker, for mechanical
integrity.
After cutting, the wafers are chemically etched to remove any damaged and

Figure 7: Flats for the different wafer types and orientations. All orientations and doping
types have a primary flat, while there are different secondary flats for different types (a)
p(111) (b) n(111) (c) p(100) and (d) n(100). Adapted from Microchip fabrication - Peter van
Zant.
Table 4: Specs of a typical 150 mm wafer
Specs Value
Diameter 150 ± 0.5 mm
Thickness 675 ± 25 µm
Orientation 100 ± 1◦
Bow 60 µm
∆t 50 µm
Primary flat 55-60 mm
Secondary flat 35-40 mm
The above figure Inner diameter wafer slicing, used for cutting the ingots into
individual wafers. The thickness is slightly higher than the final required thickness to account
for material loss due to polishing. Adapted from Microchip fabrication - Peter van Zant.
Contaminated regions. This is usually done in an acid bath with a mixture of hydrofluoric
acid, nitric acid, and acetic acid. After etching, the surfaces are polished, first a rough
abrasive polish, followed by a chemical mechanical polishing (CMP) procedure. In CMP, a
slurry of fine SiO2 particles suspended in aqueous NaOH solution is used. The pad is usually
a polyester material. Polishing happens both due to mechanical abrasion and also reaction of
the silicon with the NaOH solution.

Wafers are typically single side or double side polished. Large wafers are usually
double side polished so that the backside of the wafers can be used for patterning. But wafer
handling for double side polished wafers should be carefully controlled to avoid scratches on
the backside. Typical 300 mm wafers used for IC manufacture are handled by robot arms and
these are made of ceramics to minimize scratches. Smaller wafers (3” and 4” wafers) used in
labs are usually single side polished. After polishing, the wafers are subjected to a final
inspection before they are packed and shipped to the fab.

Poly Si manufacture
The starting material for Si wafer manufacture is called Electronic grade Si (EGS).
This is an ingot of Si that can be shaped and cut into the final wafers. EGS should have
impurity levels of the order of ppb, with the desired doping levels, so that it matches the
chemical composition of the final Si wafers. The doping levels are usually back calculated
from resistivity measurements. To get EGS, the starting material is called Metallurgical
grade Si (MGS). The first step is the synthesis of MGS from the ore.

The starting material for Si manufacture is quartzite (SiO2) or sand. The ore is reduced
to Si by mixing with coke and heating in a submerged electrode arc furnace. The SiO 2 reacts
with excess C to first form SiC. At high temperature, the SiC reduces SiO2 to form Si. The
overall reaction is given by
SiC (s) + SiO2 (s) → Si (l) + SiO (g) + CO (g) (1)
The Si(l) formed is removed from the bottom of the furnace. This is the MGS and is around
98% pure. The schematic of the reducing process is shown in figure 1. Typical impurities and
their concentrations in MGS is tabulated in
2. MGS is used for making alloys. From table 2 it can be seen that the main
Figure above: Schematic of the submerged arc electrode process. SiO2 is mixed with
coke and heated. It first forms SiC, which further reacts with the remaining SiO2 forming
silicon. The temperature is maintained above the melting point of silicon so that the molten
semiconductor is removed from the bottom. Adapted from Synthesis and purification of bulk
semiconductors -Barron and Smith

Metallic impurities are Al and Fe. Further purification is needed to make EGS since
the impurity concentration must be reduced to ppb levels.
One of the techniques for converting MGS to EGS is called the Seimens process. In
this the Si is reacted with HCl gas to form tricholorosilane, which is in gaseous form.
Si (s) + 3HCl (g) → SiHCl3 (g) + H2 (g) (2)

This process is carried out in a fluidized bed reactor at 300◦C, where the trichlorosilane gas is
removed and then reduced using H2 gas.
2SiHCl3 (g) + 2H2 (g) → 2Si (s) + 6HCl (g) (3)=
The process flow is shown in figure 2. A Si rod is used to nucleate the reduced Si
obtained from the silane gas, as shown in figure 3. During the conversion of silicon to
trichlorosilane impurities are removed and process can be cycled to increase purity of the
formed Si. The final material obtained is the EGS. This is a polycrystalline form of Si, like
MGS, but has much smaller impurity levels, closer to what is desired in the final single
crystal wafer. The impurities in EGS are tabulated in 3. EGS is still polycrystalline and needs
to be converted into a single crystal Si ingot for producing the wafers.
Figure 2: Schematic of the process to purify MGS to obtain EGS. The process involves
conversion of silicon to trichlorosilane gas, which is purified, and then reduced to obtain
silicon. Adapted from Synthesis and purification of bulk semiconductors - Barron and Smith

Figure 3: The Seimens deposition reactor where the purified Si is condensed. This is the
electronic grade Si, same purity level as Si wafers, but polycrystalline. Adapted from
Synthesis and purification of bulk semiconductors -

Barron and Smith


Table 3: Impurities in EGS, after purification from MGS. Compared to table 2, the
concentration levels of the metals have dropped to ppb levels.
Element Concentration (ppb)

IC Fabrication Process Steps

The fabrication of integrated circuits consists basically of the following process steps:

• Lithography: The process for pattern definition by applying thin uniform layer of
viscous liquid (photo-resist) on the wafer surface. The photo-resist is hardened by
baking and than selectively removed by projection of light through a reticle
containing mask information.
• Etching: Selectively removing unwanted material from the surface of the wafer. The
pattern of the photo-resist is transferred to the wafer by means of etching agents.
• Deposition: Films of the various materials are applied on the wafer. For this purpose
mostly two kind of processes are used, physical vapor deposition (PVD) and chemical
vapor deposition (CVD).
• Chemical Mechanical Polishing: A planarization technique by applying a chemical
slurry with etchant agents to the wafer surface.
• Oxidation: In the oxidation process oxygen (dry oxidation) or H O (wet oxidation)
molecules convert silicon layers on top of the wafer to silicon dioxide.
• Ion Implantation: Most widely used technique to introduce dopant impurities into
semiconductor. The ionized particles are accelerated through an electrical field and
targeted at the semiconductor wafer.
• Diffusion: A diffusion step following ion implantation is used to anneal
bombardment-induced lattice defects.
• Metallization: Metallization is the final step in the wafer processing sequence.
Metallization is the process by which the components of IC’s are interconnected by
aluminium conductor. This process produces a thin-film metal layer that will serve as
the required conductor pattern for the interconnection of the various components on
the chip. Another use of metallization is to produce metalized areas called bonding
pads around the periphery of the chip to produce metalized areas for the bonding of
wire leads from the package to the chip.

Silicon Dioxide
Dry Oxidation

During dry oxidation, the wafer is placed in a pure oxygen gas (O ) environment and the
chemical reaction which ensues is between the solid silicon atoms (Si) on the surface of the
wafer and the approaching oxide gas
(29)

Figure : Oxide thickness versus oxidation time for dry (O ) oxidation of a (100) oriented
silicon wafer under various temperatures.
Above Figure shows the oxide thickness as a function of oxidation time for dry oxidation. It

can be noted that the oxidation rate does not exceed 150nm h, making it a relatively slow
process which can be accurately controled in order to achieve a desired thickness. The oxide
films resulting from a dry oxidation process have a better quality than those grown in a wet
environment, which makes them more desirable when high quality oxides are needed. Dry
oxidation is generally used to grow films not thicker than 100nm or as a second step in the
growth of thicker films, after wet oxidation has already been used to obtain a desired
thickness. The application of a second step is only meant to improve the quality of the thick
oxide.

2.2.1.2 Wet Oxidation

During wet oxidation, the silicon wafer is placed into an atmosphere of water vapor (H O)
and the ensuing chemical reaction is between the water vapor molecules and the solid silicon

atoms (Si) on the surface of the wafer, with hydrogen gas (H ) released as a byproduct
(30)
Figure shows the oxide thickness as a function of oxidation time for wet oxidation
processing.

Figure Oxide thickness versus oxidation time for wet (H O) oxidation of a (100) oriented
silicon wafer under various temperatures.

It is evident that wet oxidation operates with much higher oxidation rates than dry oxidation,
up to approximately 600nm/h. The reason is the ability of hydroxide (OH ) to diffuse

through the already-grown oxide much quicker than O , effectively widening the oxidation
rate bottleneck when growing thick oxides, which is the diffusion of species. Due to the fast
growth rate, wet oxidation is generally used where thick oxides are required, such as
insulation and passivation layers, masking layers, and for blanket field oxides.

Lithography
The word lithography comes from the Greek lithos, meaning stones, and graphia, meaning to
write. It means quite literally writing on stones. In the case of semiconductor lithography
(also called photolithography) our stones are silicon wafers and our patterns are written with
a light sensitive polymer called a photoresist. To build the complex structures that make up a
transistor and the many wires that connect the millions of transistors of a circuit, lithography
and etch pattern transfer steps are repeated at least 10 times, but more typically are done 20
to 30 times to make one circuit. Each pattern being printed on the wafer is aligned to the
previously formed patterns and slowly the conductors, insulators, and selectively doped
regions are built up to form the final device.

The general sequence of processing steps for a typical photolithography process is as


follows: substrate preparation, photoresist spin coat, prebake, exposure, post-exposure bake,
development, and postbake. A resist strip is the final operation in the lithographic process,
after the resist pattern has been transferred into the underlying layer. This sequence is shown
diagrammatically in below Figure

Figure Example of a typical sequence of lithographic processing steps (with no post-


exposure bake in this case), illustrated for a positive resist.

Lithography and Photoresists


Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR):
1.) Positive: PR pattern is same as mask. On exposure to light, light degrades the polymers
(described in more detail later) resulting in the photoresist being more soluble in developers.
The PR can be removed in inexpensive solvents such as acetone.
2.) Negative: PR pattern is the inverse of the mask. On exposure to light, light polymerizes
the rubbers in the photoresist to strengthen it’s resistance to dissolution in the developer. The
resist has to be removed in special stripping chemicals. These resists tend to be extremely
moisture sensitive.
3.) Combination: Same photoresist can be used for both negative and positive pattern
transfer. Can be removed in inexpensive solvents.

Etching
Etching is used to remove material selectively in order to create patterns. The pattern is
defined by the etching mask, because the parts of the material, which should remain, are
protected by the mask. The unmasked material can be removed either by wet (chemical) or
dry (physical) etching. Wet etching is strongly isotropic which limits its application and the
etching time can be controlled difficultly. Because of the so-called under-etch effect, wet
etching is not suited to transfer patterns with sub-micron feature size. However, wet etching
has a high selectivity (the etch rate strongly depends on the material) and it does not damage
the material. On the other side dry etching is highly anisotropic but less selective. But it is
more capable for transfering small structures.

Deposition

Diffusion of Dopant Impurities

The process of junction formation, that is transition from p to n type or vice versa, is
typically accomplished by the process of diffusing the appropriate dopant impurities in a high
temperature furnace. Impurity atoms are introduced onto the surface of a silicon wafer and
diffuse into the lattice because of their tendency to move from regions of high to low
concentration. Diffusion of impurity atoms into silicon crystal takes place only at elevated
temperature, typically 900 to 1100°C.

Although these are rather high temperatures, they are still well below the melting
point of silicon, which is at 1420°C. The rate at which the various impurities diffuse into
silicon will be of the order of 1 micro meter per hour at a temperature range stated above, and
the penetration depth that are involved in most diffusion processes will be of the order of 0.3
to 30 micro meter. At room temperature the diffusion process will be so extremely slow such
that the impurities can be considered to be essentially frozen in place.

A method of p-n junction formation which was popular in the early days is the grown
junction technique. In this method the dopant is abruptly changed in the melt during the
process of crystal growth. A convenient technique for making p-n junction is the alloying of a
metal containing doping atoms on a semiconductor with the opposite type of dopant. This is
called the alloyed junction technique. The p-n junction using epitaxial growth is widely used
in ICs. An epitaxial grown junction is a sharp junction. In terms of volume of production, the
most common technique for forming p-n junctions is the impurity diffusion process. This
produces diffused junction. Along with diffusion process the use of selective masking to
control junction geometry, makes possible the wide variety of devices available in the form
of IC’s. Selective diffusion is an important technique in its controllability, accuracy and
versatility.

Nature of Impurity Diffusion

The diffusion of impurities into a solid is basically the same type of process as occurs
when excess carriers are created non-uniformly in a semiconductor which cause carrier
gradient. In each case, the diffusion is a result of random motion, and particles diffuse in the
direction of decreasing concentration gradient The random motion of impurity atoms in a
solid is, of course, rather limited unless the temperature is high. Thus diffusion of doping
impurities into silicon is accomplished at high temperature as stated above.

There are mainly two types of physical mechanisms by which the impurities can diffuse into
the lattice. They are

1. Substitutional Diffusion

At high temperature many atoms in the semiconductor move out of their lattice site,
leaving vacancies into which impurity atoms can move. The impurities, thus, diffuse by this
type of vacancy motion and occupy lattice position in the crystal after it is cooled. Thus,
substitutional diffusion takes place by replacing the silicon atoms of parent crystal by
impurity atom. In other words, impurity atoms diffuse by moving from a lattice site to a
neighbouring one by substituting for a silicon atom which has vacated a usually occupied site
as shown in the figure below.

Substitutional Diffusion By Dopant Impurities

Substitutional diffusion mechanism is applicable to the most common diffusants, such


as boron, phosphorus, and arsenic. These dopants atoms are too big to fit into the interstices
or voids, so the only way they can enter the silicon crystal is to substitute for a Si atom.

In order for such an impurity atom to move to a neighbouring vacant site, it has to
overcome energy barrier which is due to the breaking of covalent bonds. The probability of
its having enough thermal energy to do this is proportional to an exponential function of
temperature. Also, whether it is able to move is also dependent on the availability of a vacant
neighbouring site and since an adjacent site is vacated by a Si atom due to thermal fluctuation
of the lattice, the probability of such an event is again an exponent of temperature.

The jump rate of impurity atoms at ordinary temperatures is very slow, for example
about 1 jump per 1050 years at room temperature! However, the diffusion rate can be speeded
up by an increase in temperature. At a temperature of the order 1000 degree Celsius,
substitutional diffusion of impurities is practically realized in sensible time scales.

2. Interstitial Diffusion

In such, diffusion type, the impurity atom does not replace the silicon atom, but
instead moves into the interstitial voids in the lattice. The main types of impurities diffusing
by such mechanism are Gold, copper, and nickel. Gold, particularly, is introduced into silicon
to reduce carrier life time and hence useful to increase speed at digital IC’s.

Because of the large size of such metal atoms, they do not usually substitute in the
silicon lattice. To understand interstitial diffusion, let us consider a unit cell of the diamond
lattice of the silicon which has five interstitial voids. Each of the voids is big enough to
contain an impurity atom. An impurity atom located in one such void can move to a
neighbouring void, as shown in the figure below.

Interstitial Diffusion of Impurity Atom

In doing so it again has to surmount a potential barrier due to the lattice, this time,
most neighbouring interstitial sites are vacant so the frequency of movement is reduced.
Again, the diffusion rate due to this process is very slow at room temperature but becomes
practically acceptable at normal operating temperature of around 1000 degree Celsius. It will
be noticed that the diffusion rate due to interstitial movement is much greater than for
substitutional movement. This is possible because interstitial diffusants can fit in the voids
between silicon atoms. For example, lithium acts as a donor impurity in silicon, it is not
normally used because it will still move around even at temperatures near room temperature,
and thus will not be frozen in place. This is true of most other interstitial diffusions, so long-
term device stability cannot be assured with this type of impurity.

Fick’s Laws of Diffusion

The diffusion rate of impurities into semiconductor lattice depends on the following
• Mechanism of diffusion
• Temperature
• Physical properties of impurity
• The properties of the lattice environment
• The concentration gradient of impurities
• The geometry of the parent semiconductor
The behaviour of diffusion particles is governed by Fick’s Law, which when solved
for appropriate boundary conditions, gives rise to various dopant distributions, called profiles
which are approximated during actual diffusion processes.

In 1855, Fick drew analogy between material transfer in a solution and heat transfer
by conduction. Fick assumed that in a dilute liquid or gaseous solution, in the absence of
convection, the transfer of solute atoms per unit area in a one-dimensional flow can be
described by the following equation

F = -D ∂N(x,t)/∂x = -∂F(x,t)/∂x

where F is the rate of transfer of solute atoms per unit area of the diffusion flux density
(atoms/cm2-sec). N is the concentration of solute atoms (number of atoms per unit
volume/cm3), and x is the direction of solute flow. (Here N is assumed to be a function of x
and t only), t is the diffusion time, and D is the diffusion constant (also referred to as
diffusion coefficient or diffusivity) and has units of cm2/sec.

The above equation is called Fick’s First law of diffusion and states that the local rate
of transfer (local diffusion rate) of solute per unit area per unit time is proportional to the
concentration gradient of the solute, and defines the proportionality constant as the diffusion
constant of the solute. The negative sign appears due to opposite direction of matter flow and
concentration gradient. That is, the matter flows in the direction of decreasing solute
concentration.

Fick’s first law is applicable to dopant impurities used in silicon. In general the
dopant impurities are not charged, nor do they move in an electric field, so the usual drift
mobility term (as applied to electrons and holes under the influence of electric field)
associated with the above equation can be omitted. In this equation N is in general function of
x, y, z and t.

The change of solute concentration with time must be the same as the local decrease
of the diffusion flux, in the absence of a source or a sink. This follows from the law of
conservation of matter. Therefore we can write down the following equation

∂N(x,t)/∂t = -∂F(x,t)/∂x

Substituting the above equation to ‘F’. We get

∂N(x,t)/∂t = ∂/∂x[D*∂N(x,t)/∂x]

When the concentration of the solute is low, the diffusion constant at a given temperature can
be considered as a constant.

Thus the equation becomes,

∂N(x,t)/∂t = D[∂2N(x,t)/∂x2]

This is Ficks second law of distribution.


Diffusion Profiles

Depending on boundary equations the Ficks Law has two types of solutions. These
solutions provide two types of impurity distribution namely constant source distribution
following complimentary error function (erfc) and limited source distribution following
Gaussian distribution function.

Constant Source (erfc) Distribution

In this impurity distribution, the impurity concentration at the semiconductor surface


is maintained at a constant level throughout the diffusion cycle. That is,

N (o,t) = NS = Constant

The solution to the diffusion equation which is applicable in this situation is most
easily obtained by first considering diffusion inside a material in which the initial
concentration changes in same plane as x=0, from NS to 0. Thus the equation can be written
as

N (o,t) = NS = Constant and N(x,t) = 0

Shown below is a graph of the complementary error function for a range of values of
its argument. The change in concentration of impurities with time, as described by the
equation is also shown in the figure below. The surface concentration is always held at NS,
falling to some lower value away from the surface. If a sufficiently long time is allowed to
elapse, it is possible for the entire slice to acquire a dopant level of NS per m3.

Complimentary Error Function

If the diffused impurity type is different from the resistivity type of the substrate
material, a junction is formed at the points where the diffused impurity concentration is equal
to the background concentration already present in the substrate.

In the fabrication of monolithic IC’s, constant source diffusion is commonly used for
the isolation and the emitter diffusion because it maintains a high surface concentration by a
continuous introduction of dopant.

There is an upper limit to the concentration of any impurity that can be


accommodated at the semiconductor wafer at some temperature. This maximum
concentration which determines the surface concentration in constant source diffusion is
called the solid solubility of the impurity.

Limited Source Diffusion or Gaussian Diffusion

Here a predetermined amount of impurity is introduced into the crystal unlike


constant source diffusion. The diffusion takes place in two steps.

1. Predeposition Step – In this step a fixed number of impurity atoms are deposited on the
silicon wafer during s short time.

2. Drive-in step – Here the impurity source is turned off and the amounts of impurities
already deposited during the first step are allowed to diffuse into silicon water.

The essential difference between the two types of diffusion techniques is that the surface
concentration is held constant for error function diffusion. It decays with time for the
Gaussian type owing to a fixed available doping concentration Q. For the case of modelling
the depletion layer of a p-n junction, the erfc is modelled as a step junction and the Gaussian
as a linear graded junction. In the case of the erfc, the surface concentration is constant,
typically the maximum solute concentration at that temperature or solid solubility limit.

Parameters which affect diffusion profile:

• Solid Solubility – In deciding which of the availability impurities can be used, it is


essential to know if the number of atoms per unit volume required by the specific profile is
less than the diffusant solid solubility.
• Diffusion temperature – Higher temperatures give more thermal energy and thus
higher velocities, to the diffused impurities. It is found that the diffusion coefficient critically
depends upon temperature. Therefore, the temperature profile of diffusion furnace must have
higher tolerance of temperature variation over its entire area.
• Diffusion time – Increases of diffusion time, t, or diffusion coefficient D have
similar effects on junction depth as can be seen from the equations of limited and constant
source diffusions. For Gaussian distribution, the net concentration will decrease due to
impurity compensation, and can approach zero with increasing diffusion tunes. For constant
source diffusion, the net Impurity concentration on the diffused side of the p-n junction
shows a steady increase with time.
• Surface cleanliness and defects in silicon crystal – The silicon surface must be
prevented against contaminants during diffusion which may interfere seriously with the
uniformity of the diffusion profile. The crystal defects such as dislocation or stacking faults
may produce localized impurity concentration. This results in the degradation of junction
characteristics. Hence silicon crystal must be highly perfect.

Basic Properties of the Diffusion Process

Following properties could be considered for designing and laying out ICs.
• When calculating the total effective diffusion time for given impurity profile, one
must consider the effects of subsequent diffusion cycles.
• The erfc and Gaussian functions show that the diffusion profiles are functions of (x/
√Dt). Hence, for a given surface and background concentration, the junction depth x1 and x2
associated with the two separate diffusions having different times and temperature
• Lateral Diffusion Effects – The diffusions proceed sideways from a diffusion
window as well as downward. In both types of distribution function, the side diffusion is
about 75 to 80 per cent of the vertical diffusion.

Dopants and their Characteristics

The dopants selection affects IC characteristics. Boron and phosphorus are the basic
dopants of most ICs. Arsenic and antimony, which are highly soluble in silicon and diffuse
slowly, are used before epitaxial processing or as a second diffusion. Gold and silver diffuse
rapidly. They act as recombination centres and thus reduce carrier life time.

Boron is almost an exclusive choice as an acceptor impurity in silicon since other p-


type impurities have limitations as follows :

Gallium has relatively large diffusion coefficient in Si02, and the usual oxide window-
opening technique for locating diffusion would be inoperative, Indium is of little interest
because of its high acceptor level of 0.16 eV, compared with 0.01 eV for boron, which
indicates that not all such acceptors would be ionized at room temperature to produce a hole.
Aluminium reacts strongly with any oxygen that is present in the silicon lattice.

The choice of a particular n-type dopant is not so limited as for p-type materials. The
n-type impurities, such as phosphorus, antimony and arsenic, can be used at different stages
of IC processing. The diffusion constant of phosphorus is much greater than for Sb and As,
being comparable to that for boron, which leads to economies resulting from shorter diffusion
times.

Dopants in VLSI Technology

The common dopants in VLSI circuit fabrication are boron, phosphorus. and arsenic.
Phosphorus is useful not only as an emitter and base dopant, but also far gettering fast-
diffusing metallic contaminants, such as Cu and An, which cause junction leakage current
problems. Thus, phosphorus is indispensable in VLSI technology. However, n-p-n transistors
made with arsenic-diffused emitters have better low-current gain characteristics and better
control of narrow base widths than those made with phosphorus-diffused emitters. Therefore,
in V LSI, the use of phosphorus as an active dopant in small, shallow junctions and low-
temperature processing will be limited to its use as the base dopant of p-n-p device and as a
gettering agent. Arsenic is the most frequently used dopant for the source and drain regions in
n-channel MOSFETs.

Diffusion Systems

Impurities are diffused from their compound sources as mentioned above. The method
impurity delivery to wafer is determined by the nature of impurity source; Two-step diffusion
is widely technique. Using this technique, the impurity concentration and profiles can be
carefully controlled. The type of impurity distribution (erfc or Gaussian) is determined by the
choice of operating conditions.

The two-step diffusion consists of a deposition step and a drive-in step. In the former
step a constant source diffusion is carried out for a short time, usually at a relatively low
temperatures, say, 1000°C. In the latter step, the impurity supply is shutoff and the existing
dopant is allowed to diffuse into the body of the semiconductor, which is now held at a
different temperature, say 1200°C, in an oxidizing atmosphere. The oxide layer which forms
on tire surface of the wafer during this step prevents further impurities from entering, or those
already deposited, from diffusing out. The final impurity profile is a function of diffusion
condition, such as temperature, time, and diffusion coefficients, for each step.
• Diffusion Furnace

For the various types of diffusion (and also oxidation) processes a resistance-heated tube
furnace is usually used. A tube furnace has a long (about 2 to 3 meters) hollow opening into
which a quartz tube about 100,150 mm in diameter is placed as shown in the figure below.

Diffusion Furnace

The temperature of the furnace is kept about1000°C. The temperature within the
quartz furnace tube can be controlled very accurately such that a temperature within 1/2°C of
the set-point temperature can be maintained uniformly over a “hot zone” about 1 m in length.
This is achieved by three individually controlled adjacent resistance elements. The silicon
wafers to be processed are stacked up vertically into slots in a quartz carrier or “boat” and
inserted into the furnace lube.

Diffusion Of p-Type Impurity

Boron is an almost exclusive choice as an acceptor impurity in silicon. It has a


moderate diffusion coefficient, typically of order I0-16 m2/sec at 1150°C which is convenient
for precisely controlled diffusion. It has a solid solubility limit of around 5 x 1026 atoms/m3,
so that surface concentration can be widely varied, but most reproducible results are obtained
when the concentration is approximately 1024/m3, which is typical for transistor base
diffusions.
• Boron Diffusion using B2H6 (Diborane) Source

This is a gaseous source for boron. This can be directly introduced into the diffusion
furnace. A number of other gases are metered into the furnace. The principal gas flow in the
furnace will be nitrogen (N2) which acts as a relatively inert gas and is used as a carrier gas to
be a dilutent for the other more reactive gases. The N2, carrier gas will generally make up
some 90 to 99 percent of the total gas flow. A small amount of oxygen and very small
amount of a source of boron will make up the rest of the gas flow. This is shown in the figure
below. The following reactions will be occurring simultaneously at the surface of the silicon
wafers:

Si + 02 = SiO2 (silica glass)

2B2H6 + 302 = B2O3 (boron glass) + 6H2

This process is the chemical vapour deposition (CVD) of a glassy layer on (lie silicon
surface which is a mixture of silica glass (Si02) and boron glass (B203) is called borosilica
glass (BSG). The BSG glassy layer, shown in the figure below, is a viscous liquid at the
diffusion temperatures and the boron atoms can move around relatively easily.

Diffusion Of Dopants

Furthermore, the boron concentration in the BSG is such that the silicon surface will
be saturated with boron at the solid solubility limit throughout the time of the diffusion
process as long as BSG remains present. This is constant source (erfc) diffusion. It is often
called deposition diffusion. This diffusion step is referred as pre-deposition step in which the
dopant atoms deposit into the surface regions (say 0.3 micro meters depth) of the silicon
wafers. The BSG is preferable because it protects the silicon atoms from pitting or
evaporating and acts as a “getter” for undesirable impurities in the silicon. It is etched off
before next diffusion as discussed below.

The pre-deposition step, is followed by a second diffusion process in which the


external dopant source (BSG) is removed such that no additional dopants cuter the silicon.
During this diffusion process the dopants that are already in the silicon move further in and
are thus redistributed. The junction depth increases, and at the same time the surface
concentration decreases. This type of diffusion is called drive-in, or redistribution, or limited-
source (Gaussian diffusion).
• Boron Diffusion using BBr3i (Boron Tribromide) Source

This is a liquid source of boron. In this case a controlled flow of carrier gas (N2,) is
bubbled through boron tribromide, as shown in the figure below, which with oxygen again
produces boron trioxide (BSG) at the surface of the wafers as per following reaction :

4BBr3 + 302 = B203 + 2Br2

Diffusion of n-Type Impurity

For phosphorus diffusion such compounds as PH3 (phosphine) and POCl3


(phosphorus oxychloride) can be used. In the case of a diffusion using PoCI3, the reactions
occurring at the silicon wafer surfaces will be:

Si + 02 = SiO2 (silica glass)

4POCl + 302 = 2P205 + 6Cl2

This will result in the production of a glassy layer on the silicon wafers (hat is a
mixture of phosphorus glass and silica glass called phosphorosilica glass (PSG), which is a
viscous liquid at the diffusion temperatures. The mobility of the phosphorus atoms in this
glassy layer and the phosphorus concentration is such that the phosphorus concentration at
the silicon surface will be maintained at the solid solubility limit throughout the time of the
diffusion process (similar processes occur with other dopants, such as the case of arsenic, in
winch arsenosilica glass is formed on the silicon surface.

The rest of the process for phosphorus diffusion is similar to boron diffusion, that is,
after deposition step, drive-in diffusion is carried out.P205 is a solid source for phosphorus
impurity and can be used in place of POCl3. However POCl3 offers certain advantages
overP205 such as easier source handling, simple furnace requirements, similar glassware for
low and high surface

CVD Process
A multitude of layers of different materials have to be deposited during
the IC fabrication process. The two most important deposition methods are the physical vapor
deposition (PVD) and the chemical vapor deposition (CVD). During PVD accelerated gas
ions sputter particles from a sputter target in a low pressure plasma chamber. The principle
of CVD is a chemical reaction of a gas mixture on the substrate surface at high temperatures.
The need of high temperatures is the most restricting factor for applying CVD. This problem
can be avoided with plasma enhanced chemical vapor deposition (PECVD), where the
chemical reaction is enhanced with radio frequencies instead of high temperatures. An
important aspect for this technique is the uniformity of the deposited material, especially the
layer thickness. CVD has a better uniformity than PVD.

ION-IMPLANTATION

Ion Implantation is an alternative to a deposition diffusion and is used to produce a


shallow surface region of dopant atoms deposited into a silicon wafer. This technology has
made significant roads into diffusion technology in several areas. In this process a beam of
impurity ions is accelerated to kinetic energies in the range of several tens of kV and is
directed to the surface of the silicon. As the impurity atoms enter the crystal, they give up
their energy to the lattice in collisions and finally come to rest at some average penetration
depth, called the projected range expressed in micro meters. Depending on the impurity and
its implantation energy, the range in a given semiconductor may vary from a few hundred
angstroms to about 1micro meter. Typical distribution of impurity along the projected range
is approximately Gaussian. By performing several implantations at different energies, it is
possible to synthesize a desired impurity distribution, for example a uniformly doped region.

Ion Implantation

A typical ion-implantation system is shown in the figure below.

Ion Implantation System


A gas containing the desired impurity is ionized within the ion source. The ions are
generated and repelled from their source in a diverging beam that is focussed before if passes
through a mass separator that directs only the ions of the desired species through a narrow
aperture. A second lens focuses this resolved beam which then passes through an accelerator
that brings the ions to their required energy before they strike the target and become
implanted in the exposed areas of the silicon wafers. The accelerating voltages may be from
20 kV to as much as 250 kV. In some ion implanters, the mass separation occurs after the
ions are accelerated to high energy. Because the ion beam is small, means are provided for
scanning it uniformly across the wafers.

Metallization

Metallization is the final step in the wafer processing sequence. Metallization is the
process by which the components of IC’s are interconnected by aluminium conductor. This
process produces a thin-film metal layer that will serve as the required conductor pattern for
the interconnection of the various components on the chip. Another use of metallization is to
produce metalized areas called bonding pads around the periphery of the chip to produce
metalized areas for the bonding of wire leads from the package to the chip. The bonding
wires are typically 25 micro meters diameter gold wires, and the bonding pads are usually
made to be around 100×100 micro meters square to accommodate fully the flattened ends of
the bonding wires and to allow for some registration errors in the placement of the wires on
the pads.

Aluminium

Aluminium (At) is the most commonly used material for the metallization of most
IC’s, discrete diodes, and transistors. The film thickness is as about 1 micro meters and
conductor widths of about 2 to 25 micro meters are commonly used. The use of aluminium
offers the following advantages:
• It has as relatively good conductivity.
• It is easy to deposit thin films of Al by vacuum evaporation.
• It has good adherence to the silicon dioxide surface.
• Aluminium forms good mechanical bonds with silicon by sintering at about 500°C or
by alloying at the eutectic temperature of 577°C.
• Aluminium forms low-resistance, non-rectifying (that is, ohmic) contacts with p-type
silicon and with heavily doped n-type silicon.
• It can be applied and patterned with a single deposition and etching process.

In general the desired properties of the metallization for IC can be listed as follows.
• Low resistivity.
• Easy to form.
• Easy to etch for pattern generation.
• Should be stable in oxidizing ambient , oxidizable.
• Mechanical stability; good adherence, low stress.
• Surface smoothness.
• Stability throughout processing including high temperature sinter, dry or wet
oxidation, gettering, phosphorous glass (or any other material) passivation, metallization.
• No reaction with final metal, aluminium.
• Should not contaminate device, wafers, or working apparatus.
• Good device characteristics and life times.
• For window contacts-low contact resistance, minimum junction penetration, low
electromigration.

Threshold Voltage and Body Effect


The threshold voltage Vth for a nMOS transistor is the minimum amount of the gate-
to-source voltage VGS necessary to cause surface inversion so as to create the
conducting channel between the source and the drain. For VGS< Vth , no current can
flow between the source and the drain. For VGS> Vth , a larger number of minority
carriers (electrons in case of an nMOS transistor) are drawn to the surface, increasing
the channel current. However, the surface potential and the depletion region width
remain almost unchanged as VGS is increased beyond the threshold voltage.

The physical components determining the threshold voltage are the following.

• work function difference between the gate and the substrate.


• gate voltage portion spent to change the surface potential.
• gate voltage part accounting for the depletion region charge.
• gate voltage component to offset the fixed charges in the gate oxide and the silicon-
oxide boundary.

Although the following analysis pertains to an nMOS device, it can be simply modified to
reason for a p-channel device.

The work function difference between the doped polysilicon gate and the p-type
substrate, which depends on the substrate doping, makes up the first component of the
threshold voltage. The externally applied gate voltage must also account for the strong

inversion at the surface, expressed in the form of surface potential 2 , where denotes the
distance between the intrinsic energy level EI and the Fermi level EF of the p-type
semiconductor substrate.

The factor 2 comes due to the fact that in the bulk, the semiconductor is p-type, where
EI is above EF by , while at the inverted n-type region at the surface EI is below EF by ,
and thus the amount of the band bending is 2 . This is the second component of the
threshold voltage. The potential difference between EI and EF is given as

where k: Boltzmann constant, T: temperature, q : electron charge NA : acceptor concentration


in the p-substrate and n i : intrinsic carrier concentration. The expression kT/q is 0.02586 volt
at 300 K.

The applied gate voltage must also be large enough to create the depletion charge.
Note that the charge per unit area in the depletion region at strong inversion is given by

where is the substrate permittivity. If the source is biased at a potential VSB with respect to
the substrate, then the depletion charge density is given by
The component of the threshold voltage that offsets the depletion charge is then given by -Qd

/Cox , where Cox is the gate oxide capacitance per unit area, or Cox = (ratio of the oxide
permittivity and the oxide thickness).

A set of positive charges arises from the interface states at the Si-SiO2 interface.
These charges, denoted as Qi , occur from the abrupt termination of the semiconductor crystal
lattice at the oxide interface. The component of the gate voltage needed to offset this positive
charge (which induces an equivalent negative charge in the semiconductor) is -Qi /Cox. On
combining all the four voltage components, the threshold voltage VTO, for zero substrate bias,
is expressed as

For non-zero substrate bias, however, the depletion charge density needs to be modified to
include the effect of VSB on that charge, resulting in the following generalized expression for
the threshold voltage, namely

The generalized form of the threshold voltage can also be written as

Note that the threshold voltage differs from VTO by an additive term due to substrate bias. This term, which de
on the material parameters and the source-to-substrate voltage VSB , is given by

Thus, in its most general form, the threshold voltage is determined as

........................... (2.1)

in which the parameter , known as the substrate-bias (or body-effect ) coefficient is given by

.................................... (2.2)
The threshold voltage expression given by (1.1) can be applied to n-channel as well as
p-channel transistors. However, some of the parameters have opposite polarities for the
pMOS and the nMOS transistors. For example, the substrate bias voltage VSB is positive in

nMOS and negative in pMOS devices. Also, the substrate potential difference is negative
in nMOS, and positive in pMOS. Whereas, the body-effect coefficient is positive in nMOS
and negative in pMOS. Typically, the threshold voltage of an enhancement mode n-channel
transistor is positive, while that of a p-channel transistor is negative.

Example 2.1 Given the following parameters, namely the acceptor concentration of p-
substrate NA =1016 cm-3 , polysilicon gate doping concentration ND =1016 cm-3 , intrinsic
concentration of Si, ni =1.45 X 1010 cm-3 , gate oxide thickness tox =500 Å and oxide-interface
fixed charge density Nox =4 X 1010cm-2 , calculate the threshold voltage VTO at VSB=0.

Ans:

The potential difference between EI and EF for the p-substrate is

For the polysilicon gate, as the doping concentration is extremely high, the heavily doped n-
type gate material can be assumed to be degenerate. That is, the Fermi level EF is almost
coincident with the bottom of the conduction band E C . Hence, assuming that the intrinsic
energy level EI is at the middle of the band gap, the potential difference between EI and EF
for the gate is = ½ (energy band gap of Si) = 1/2 X 1.1 = 0.55 V.

Thus, the work function difference between the doped polysilicon gate and the p-type
substrate is -0.35 V - 0.55 V = -0.90 V.

The depletion charge density at VSB =0 is

The oxide-interface charge density is

The gate oxide capacitance per unit area is (using dielectric constant of SiO2 as 3.97)
Combining the four components, the threshold voltage can now be computed as

Body Effect :
The transistors in a MOS device seen so far are built on a common substrate. Thus,
the substrate voltage of all such transistors are equal. However, while one designs a complex
gate using MOS transistors, several devices may have to be connected in series. This will
result in different source-to-substrate voltages for different devices. For example, in the
NAND gate shown in Figure 1.5, the nMOS transistors are in series, whereby the source-to-
substrate voltage VSB of the device corresponding to the input A is higher than that of the
device for the input B.
Under normal conditions ( VGS> Vth ), the depletion layer width remains unchanged and the
charge carriers are drawn into the channel from the source. As the substrate bias VSB is
increased, the depletion layer width corresponding to the source-substrate field-induced
junction also increases. This results in an increase in the density of the fixed charges in the
depletion layer. For charge neutrality to be valid, the channel charge must go down. The
consequence is that the substrate bias VSB gets added to the channel-substrate junction
potential. This leads to an increase of the gate-channel voltage drop.
Example 2.2 Consider the n-channel MOS process in Example 2.1. One may examine how a
non-zero source-to-substrate voltage VSB influences the threshold voltage of an nMOS
transistor.

One can calculate the substrate-bias coefficient using the parameters provided in Example
2.1 as follows :

One is now in a position to determine the variation of threshold voltage VT as a function of


the source-to-substrate voltage VSB . Assume the voltage VSB to range from 0 to 5 V.
Figure 2.7 Variation of Threshold voltage in response to change in source-to-substrate
voltage VSB

Figure 2.7 depicts the manner in which the threshold voltage Vth varies as a function of the
source-to-substrate voltage VSB . As may be seen from the figure, the extent of the variation
of the threshold voltage is nearly 1.3 Volts in this range. In most of the digital circuits, the
substrate bias effect (also referred to as the body effect) is inevitable. Accordingly,
appropriate measures have to be adopted to compensate for such variations in the threshold
voltage.
(b)Enhancement mode device:
NMOS inverter

vout
NMOS inverter transfer characterstic
UNIT-II
VLSI CIRCUIT DESIGN PROCESSES

Contents:

➢ VLSI Design Flow


➢ MOS Layers
➢ Stick Diagrams
➢ Design Rules and Layout
➢2 m CMOS Design rules for wires
➢ Contacts and Transistors Layout Diagrams for
NMOS and CMOS Inverters and Gates
➢ Scaling of MOS circuits
➢ Limitations of Scaling
UNIT 2
GND
CMOS design style:

CMOS representations are extension of NMOS approach.


CMOS LAMDA BASED DESIGN RULES
TRANSISTOR AND STICK DIAGRAM REPRESENTATION :
CURRENT DENSITY J:
UNIT-III
GATE LEVEL DESIGN

Contents:

➢ Logic Gates and Other complex gates


➢ Switch logic
➢ Alternate gate circuits
➢ Time delays
➢ Driving large capacitive loads
➢ Wiring capacitance
➢ Fan-in, Fan-out
➢ Choice of layers.
UNIT-3
UNIT-IV
Contents:
➢ Subsystem Design
➢ Shifters
➢ Adders
➢ ALUs
➢ Multipliers
➢ Parity generators
➢ Comparators
➢ Zero/One Detectors
➢ Counters.
➢ SRAM,
➢ DRAM
➢ ROM
➢ Serial Access Memories
➢ Content Addressable Memory.
UNIT-4
4-bit ripple carry adder
The excitation table for 3-bit binary counter is:
State diagram of the counter is shown below:
UNIT-V
Contents:

➢ PLAs
➢ FPGAs
➢ CPLDs
➢ Standard Cells
➢ Programmable Array Logic
➢ Design Approach
➢ Parameters Influencing Low power Design.

CMOS TESTING:
➢ CMOS Testing

➢ Need for testing


➢ Test Principles
➢ Design Strategies for test
➢ Chip level Test Techniques
➢ System-level Test Techniques
➢ Layout Design for improved Testability.
UNIT-5
PLA’s:
Q(t+1)=JQ1+K1Q
FPGA design
CIRCUIT SYNTHESIS AND DESIGNFLOW:
SIMULATION:
UNIT WISE IMPORTANT QUESTIONS
UNIT-I

1. Describe the two commonly used methods for obtaining integrated capacitor.
2. With neat sketches, explain in detail, all the steps involved in electron lithography
process.
3. What is Moore’s law? Explain its relevance with respect to evolution of IC
Technology.
4. With neat sketches explain the fabrication of CMOS inverter using p-well process.
5. Explain in detail about NMOS enhancement mode of operation.
6. Explain various regions of CMOS inverter transfer characteristics.
7. Write in detail about integrated passive components.
8. Explain the MOS Transistor operation with the help of neat sketches in the following
modes
(a) Enhancement mode

(b) Depletion mode.

9. Explain latch up problem in CMOS circuits.


10. (a)What are different VLSI technologies available compare their speed/power
performance.
(b) Why is VLSI design process presented in NMOS only?
(c) Discuss the micro electronics evolution.
11. Draw the cross sectional view of CMOS P - Well inverter.
12. With neat sketches explain the NMOS fabrication procedure.
13. With neat sketches explain BICMOS fabrication process in an N well.
14. With neat sketches necessary, explain the oxidation process in the IC fabrication
process.
15. Draw the basic design flow through typical CMOS VLSI tools and give some names
of corresponding tools.
16. For a CMOS inverter, calculate the shift in the transfer characteristic curve When
βn/βp ratio is varied from 1/1 to 10/1.
17. Explain different forms of pull ups used as load, in CMOS and in enhancement &
depletion modes of NMOS.
18. Determine the pull up to pull down ratio of an nMOS inverter driven by another
nMOS transistor Explain nMOS inverter and latch up in CMOS circuits?
19. Derive an equation for IDS of an n-channel Enhancement MOSFET operating in
Saturation region.
20. An nMOS transistor is operating in saturation region with the following
parameters.VGS = 5V; Vtn = 1.2V ; W/L = 110; μnCox = 110 μA/V 2. Find
Transconductance of the device.
21. (a) Derive an equation for Transconductance of an n channel enhancement MOSFET
operating in active region.
(b) A PMOS transistor is operated in triode region with the following
parameters.VGS=- 4.5V, Vtp= -1V; VDS=-2.2 V, (W/L) =95, μnCox =95μA/V 2.
Find its drain current and drain source resistance.

UNIT-II

1. What are design rules? Why is metal- metal spacing larger than poly –poly spacing.
2. (a) What is a stick diagram? Draw the stick diagram and layout for a CMOS inverter.
a. (b) What are the effects of scaling on Vt?
3. Draw the stick diagram and mask layout for a CMOS two input NOR gate and Stick
diagram of two input NAND gate.
4. Draw the stick diagram and a translated mask layout for nMOS inverter circuit.
5. Explain the following
a. Double metal MOS process rules.
b. Design rules for P- well CMOS process.
6. Design a stick diagram and layout for two inputs CMOS NAND gate indicating all the
regions & layers.
7. (a) Discuss design rule for wires (orbit 2μm CMOS).
(b) Discuss the transistor related design rule (orbit 2μm CMOS).
8. Design a stick diagram and layout for the NMOS logic shown below Y = ((A + B)C)1.

UNIT-III

1. Describe three sources of wiring capacitances. Explain the effect of wiring


capacitance on the performance of a VLSI circuit.
2. Define and explain the following:
i. Sheet resistance concept applied to MOS transistors and inverters.
ii. Standard unit of capacitance.
3. Explain the requirement and functioning of a delay unit.
4. Explain the requirement and operation of pass transistors and transmission gates.
5. Compare pseudo-n MOS logic and clocked CMOS logic.
6. Two nMOS inverters are cascaded to drive a capacitive load C L=14Cg as shown in
Figure. Calculate the pair delay Vin to Vout in terms of τ for the given data.
Inverter -A
LP.U= 12λ , WP.U = 4 λ , LP.d = 1 λ , WP.d = 1 λ
Inverter -B
LP.U= 4λ , WP.U = 4 λ , LP.d = 2 λ , WP.d = 8 λ

7. Determine an equation for the propagation delay from input to output of the pass
transistor chain shown in figure 4a with the help of its equivalent circuit.

8. (a) Explain clocked CMOS logic, domino logic and n-p CMOS logic.
(b) In gate logic, compare the geometry aspects between two -input NMOS NAND
and CMOS NAND gates.

UNIT-IV

1. What are super Buffers?


2. Explain how a Booth recoded multiplier reduces the number of adders.
3. Draw the Schematic and mask layout of array adder used in Booth Multiplier and
explain the principle of multiplication in Booth Multiplier.
4. Design a magnitude comparator based on the data path operators.
5. Draw the circuit diagram for 4-by-4 barrel shifter using complementary transmission
gates and explain its shifting operation.
6. (a) How can the components of CMOS system design be categorized into the groups.
(b) Why is the static 6 transistor cell used for average CMOS system design?

(c) Compare the performance of CMOS Off chip and On chip memory designs.

9. Explain briefly the CMOS system design based on the data path operators, memory
elements, control structures and I/O cells with suitable examples.
10. Draw circuit diagram of a one transistor with transistor capacitor dynamic RAM and
also draw its layout.
11. Explain the tradeoffs between open, closed, and twisted bit lines in a dynamic RAM
array.
12. Draw the typical standard-cell structure showing regular-power cell and explain it.

UNIT-V

1. Explain briefly the CMOS system design based on the data path operators, memory
Elements, control structures and I/O cells with suitable examples.
2. Draw and explain the FPGA chip architecture.
3. Draw and explain the AND/NOR representation of PLA.
4. Draw the typical architecture of PAL and explain the operation of it.
5. What is CPLD? Draw its basic structure and give its applications.
6. Write briefly about:
(a) Channelled gate arrays

(b) Channelless gate arrays with neat sketches.


7. Draw and explain the Antifuse Structure for programming the PAL device.
8. Explain how the I/O pad is programmed in FPGA.
9. Draw and explain the pseudo-nMOS PLA schematic for full adder and whatare the
advantages and disadvantages of it.
7. Explain the gate level and function level of testing.
8. A sequential circuit with in? Inputs and ‘m’ storage devices. To test this circuit how
many test vectors are required?
9. What is sequential fault grading? Explain how it is analyzed.
10. What is ATPG? Explain a method of generation of test vector.
11. Explain the terms controllability, observability and fault coverage.
12. Draw the basic structure of parallel scan and explain how it reduces the long scan
chains.
13. Draw the state diagram of TAP Controller and explain how it provides the control
signals for test data and instruction register.
14. (a) Explain how function of system can be tested.
(b) Explain any one of the method of testing bridge faults.
(c) What type of faults can be reduced by improving layout design?
15. (a) What type of defects are tested in manufacturing testing methods?
(b) What is the Design for Autonomous Test and what is the basic device used in this?
© What types of tests are used to check the noise margin for CMOS gates?
10. (a) What are the reasons of malfunctioning of chip? What are the different levels of
testing?
(b) Explain how a parallel scan is used for data path test.
(c) What is mean by level sensitive of logic system?
MID & ASSIGNMENT QUESTION PAPERS
Hall Ticket No. Question Paper Code: A1425

CMR COLLEGE OF ENGINERRING & TECHNOLOGY


(AUTONOMOUS)
B. Tech (ECE) - SEVENTH SEMESTER - FIRST MID EXAMINATION - SEPT -2017

Subject: VLSI DESIGN Date & Time: 07/09/2017 & 2:00 PM to 3:20 PM Max Marks:
25

PART A
Answer all the following questions. (10 x 1 = 10)
1.State Moore’s Law.

2.Write levels of Integrations

3.Draw the sysmbols of NMOS and PMOS Enhancement and Depletion transistors.

4.Define Figure of merit.

5.Define Synthesis

6.write different types of MOS layers.

7.draw the circuit of CMOS inverter.

8.write any three parameters of scaling.

9.Y=(AB) ‘,Draw the expression in pseudo CMOS logic.

10.write different types of altrnative logic gates.

PART B
Answer any 3 of the following questions. (3 x 5 = 15)
11(a)Explain the following process steps in manufacturing IC.
Oxidation and lithography
(b) Explain NMOS fabrication process.
12. Find the drain-to-source current versus voltage relationship of Ids vs Vds for a nMOS
transistor.

13.a)Explain about CMOS inverter along with its regions of operation


b)What is stick diagram? Draw the stick diagram for NOR gate and NAND gate.

14.(a) What are lamda based design rules. explain?


(b) Write limitations of Scaling.

15.(a) Explain Switch Logic and transmission gate.


(b) Explain pseudo CMOS and Clocked CMOS logic.
Hall Ticket No. Question Paper Code:
A1425

CMR COLLEGE OF ENGINERRING & TECHNOLOGY


(AUTONOMOUS)
B. Tech (ECE) - SEVENTH SEMESTER – FIRST MID EXAMINATION - SEPT -2017

Subject: VLSI DESIGN Date & Time: 07/09/2017 & 2:00 PM to 3:20 PM Max Marks:
25

PART A
Answer all the following questions. (10 x 1 = 10)

1 State Moore’s Law.

2.Write levels of Integrations

3.Draw the sysmbols of NMOS and PMOS Enhancement and Depletion transistors.

4.Define transconduntances.

5.Define simulation

6.write different types of MOS layers.

7.draw the circuit of NMOS inverter.

8.write any three limitations of scaling.

9.Y=(A+B )’,Draw the expression in pseudo CMOS logic.

10.write different types of altrnative logic gates.

PART B
Answer any 3 of the following questions. (3 x 5 = 15)

11. Explain CMOS (nWell and Twin Tub) fabrication process.


12.Derive the expression for Zpu/Zpd ratio of one inverter driven by another inverter.
13(a) Explain BiCMOS inverter with neat sketch.
(b) Explain latch up problem in CMOS circuits.

14(a) Draw Schematic and Stick diagram for the expression Y=(AB+CD)’.
(b) Derive the some of scaling parameters.

15(a) Explain Switch Logic and pass transistor.


(b) Explain domino CMOS and NP CMOS logic.
Hall Ticket No. Question Paper Code: A1425

CMR COLLEGE OF ENGINERRING & TECHNOLOGY


(AUTONOMOUS)
B. Tech (ECE) - SEVENTH SEMESTER - FIRST ASSIGNMENT EXAMINATION - SEPT -2017

Subject: VLSI DESIGN Max Marks:


05

Answer all the following questions. (5 x 1 =5)

1. Explain the fabrication process of nMOS.


2. Derive the expression for Zpu/Zpd ratio of one inverter driven by another
inverter using pass transistor.
3. (a) Draw the stick diagram and layout for the logic expression
Y=((A+B)CD)’.
(b) Explain BiCMOS inverter in detail.
4. Derive all the parameters and limitations of scaling of CMOS.
5. Explain all alternative logic gates in detail.

You might also like