Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Fault Tolerance in VLSI Circuits

Published: 01 July 1990 Publication History
  • Get Citation Alerts
  • Abstract

    The defects that can occur when manufacturing VLSI ICs and the faults that can result are described. Some commonly used restructuring techniques for avoiding defective components are discussed. Several defect-tolerant designs of memory ICs, logic ICs, and wafer-scale circuits are presented. Yield models for predicting the yield of chips with redundancy are introduced, and the optimal amount of redundancy is determined.

    References

    [1]
    1. I. Koren, "The Effect of Scaling on the Yield of VLSI Circuits," Yield Modeling and Defect Tolerance in VLSI, W.R. Moore, W. Maly, and A. Strojwas, eds., Adam Hillger Ltd., Bristol, UK, 1988, pp. 91-99.
    [2]
    2. W. Maly, W.R. Moore, and A. Strojwas, "Yield Loss Mechanisms and Defect Tolerance," Yield Modeling and Defect Tolerance in VLSI, W.R. Moore, W. Maly, and A. Strojwas, eds., Adam Hillger Ltd., Bristol, UK, 1988, pp. 3-30.
    [3]
    3. P.W. Wyatt and J.I. Raffel, "Restructurable VLSI -- A Demonstrated Wafer Scale Technology," Proc. 1989 Int'l Conf. Wafer-Scale Integration, Jan. 1989, IEEE Computer Society Press, Los Alamitos, Calif., Order No. 1901, pp. 13-20.
    [4]
    4. R.T. Smith et al., "Laser Programmable Redundancy and Yield Improvement in a 64K DRAM," IEEE J. Solid-State Circuits, Oct. 1981, pp. 506-513.
    [5]
    5. T.P. Haraszti, "A Novel Associative Approach for Fault-Tolerant MOS RAM," IEEE J. Solid-State Circuits, June 1982, pp. 539- 546.
    [6]
    6. C.L. Wey, "On Yield Considerations for the Design of Redundant Programmable Logic Arrays," IEEE Trans. Computer-Aided Design , Vol. CAD-7, Apr. 1988, pp. 528-535.
    [7]
    7. R. Leveugle, M. Soueidan, and N. Wehn, "Defect Tolerance in a 16-Bit Microprocessor," Defect and Fault Tolerance in VLSI Systems, Vol. 1, I. Koren, ed., Plenum, New York, 1989, pp. 179-190.
    [8]
    8. I. Koren, "A Reconfigurable and Fault-Tolerant VLSI Multiprocessor Array," Proc. 8th Ann. Symp. Computer Architecture, May 1981, pp. 425-441.
    [9]
    9. A.D. Singh, "Interstitial Redundancy: An Area-Efficient Fault-Tolerance Scheme for Larger Area VLSI Processor Arrays," IEEE Trans. Computers, Vol. 37, No. 11, Nov. 1988, pp. 1,398-1,410.
    [10]
    10. J.-L. Patry and G. Saucier, "Practical Experiences on the Design of a WSI 2D Array," Preprints, 1989 IEEE Int'l Workshop Defect and Fault Tolerance in VLSI Systems, Oct. 1989, pp. 51-63. Also to appear in Defect and Fault Tolerance in VLSI Systems, Vol. 2, C.H. Stapper, V.K. Jain, and G. Saucier, eds., Plenum, New York, 1990.
    [11]
    11. C.H. Stapper, A.N. McLaren, and M. Dreckmann, "Yield Model for Productivity Optimization of VLSI Memory Chips with Redundancy and Partially Good Product," IBM J. Research and Development, Vol. 20, 1980, pp. 398-409.
    [12]
    12. I. Koren, Z. Koren, and D.K. Pradhan, "Designing Interconnection Buses in VLSI and WSI for Maximum Yield and Minimum Delay," IEEE J. Solid-State Circuits, June 1988, pp. 859-866.
    [13]
    13. I. Koren and C.H. Stapper, "Yield Models for Defect-Tolerant VLSI Circuits: A Review," Defect and Fault Tolerance in VLSI Systems, Vol. 1, I. Koren, ed., Plenum, New York, 1989, pp. 1-21.
    [14]
    Chean, M., and J.A.B. Fortes, "A Taxonomy of Reconfiguration Techniques for Fault-Tolerant Processor Arrays," Computer, Vol. 23, Jan. 1990, pp. 55-69.
    [15]
    Fuchs, W.K., and M.F. Chang, "Diagnosis and Repair of Large Memories: A Critical Review and Recent Results," Defect and Fault Tolerance in VLSI Systems, Vol. 1, I. Koren, ed., Plenum, New York, 1989, pp. 213- 225.
    [16]
    McDonald, J.F., et al., "The Trials of Wafer-Scale Integration," IEEE Spectrum, Vol. 21, No. 10, Oct. 1984, pp. 32-39.
    [17]
    Moore, W.R., "A Review of Fault-Tolerant Techniques for the Enhancement of Integrated Circuit Yield," Proc. IEEE, Vol. 74, May 1986, pp. 684-698.
    [18]
    Stapper, C.H., F.M. Armstrong, and K. Saji, "Integrated Circuit Yield Statistics," Proc. IEEE, Vol. 71, Apr. 1983, pp. 453-470.
    [19]
    Swartzlander, E.E., ed., Wafer-Scale Integration, Kluwer Academic Publishers, Boston, 1989.
    [20]
    Tewksbury, S.K., Wafer-Level Integrated Systems: Implementation Issues, Kluwer Academic Publishers, Boston, 1989.
    [21]
    Walker, D.M.H., Yield Simulation for Integrated Circuits, Kluwer Academic Publishers, Boston, 1987.

    Cited By

    View all
    • (2018)A machine learning based hard fault recuperation model for approximate hardware acceleratorsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3195974(1-6)Online publication date: 24-Jun-2018
    • (2016)A Built-in Self-repair Circuit for Restructuring Mesh-Connected Processor Arrays by Direct Spare ReplacementTransactions on Computational Science XXVII - Volume 957010.1007/978-3-662-50412-3_7(97-119)Online publication date: 1-Feb-2016
    • (2013)The survivability of design-specific spare placement in FPGA architectures with high defect ratesACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244210418:2(1-22)Online publication date: 11-Apr-2013
    • Show More Cited By

    Recommendations

    Reviews

    Albert Alkins Mullin

    Modern VLSI chip technologies provide high circuit counts; enhance chip yield; and improve systems performance, effectiveness, reliability, maintainability, and speed. In seeking these desirable ends, manufacturers face a continual uphill battle against the adverse effects of random fabrication defects, no matter how good their manufacturing processes are. Applications of on-chip fault tolerance in the form of redundancy can reduce the problems of fabrication defects and thus enhance yield. Briefly, redundancy makes effective wafer-scale integrated circuits feasible. The authors' approach to yield enhancement adopts on-chip testing and reconfiguration capabilities. They carefully discuss yield modeling using Poisson statistics. Chip design for testability is automatically accommodated. As chips become larger (feature sizes are already approaching their physical minima) to meet the pressing needs of advanced robotics, process control, and artificial intelligence, the authors' defect-tolerance techniques will become increasingly more useful, if not routine.

    Access critical reviews of Computing literature here

    Become a reviewer for Computing Reviews.

    Comments

    Information & Contributors

    Information

    Published In

    cover image Computer
    Computer  Volume 23, Issue 7
    July 1990
    109 pages
    ISSN:0018-9162
    Issue’s Table of Contents

    Publisher

    IEEE Computer Society Press

    Washington, DC, United States

    Publication History

    Published: 01 July 1990

    Qualifiers

    • Research-article

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 29 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2018)A machine learning based hard fault recuperation model for approximate hardware acceleratorsProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3195974(1-6)Online publication date: 24-Jun-2018
    • (2016)A Built-in Self-repair Circuit for Restructuring Mesh-Connected Processor Arrays by Direct Spare ReplacementTransactions on Computational Science XXVII - Volume 957010.1007/978-3-662-50412-3_7(97-119)Online publication date: 1-Feb-2016
    • (2013)The survivability of design-specific spare placement in FPGA architectures with high defect ratesACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244210418:2(1-22)Online publication date: 11-Apr-2013
    • (2011)Hybrid super/subthreshold design of a low power scalable-throughput FFT architectureTransactions on High-Performance Embedded Architectures and Compilers IV10.5555/2172445.2172456(175-194)Online publication date: 1-Jan-2011
    • (2010)Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modulesProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871226(1249-1254)Online publication date: 8-Mar-2010
    • (2009)On topology reconfiguration for defect-tolerant NoC-based homogeneous manycore systemsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200210817:9(1173-1186)Online publication date: 1-Sep-2009
    • (2008)An Analysis for Fault-Tolerant 3D Processor Arrays Using 1.5-Track SwitchesIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1093/ietfec/e91-a.2.623E91-A:2(623-632)Online publication date: 1-Feb-2008
    • (2007)Integrated Row and Column Rerouting for Reconfiguration of VLSI Arrays with Four-Port SwitchesIEEE Transactions on Computers10.1109/TC.2007.108556:10(1387-1400)Online publication date: 1-Oct-2007
    • (2007)System-on-Chip Test ArchitecturesundefinedOnline publication date: 20-Nov-2007
    • (2006)Reconfiguration Algorithms for Power Efficient VLSI Subarrays with Four-Port SwitchesIEEE Transactions on Computers10.1109/TC.2006.4355:3(243-253)Online publication date: 1-Mar-2006
    • Show More Cited By

    View Options

    View options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media