Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1109/MICRO56248.2022.00077acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Qubit Mapping and Routing via MaxSAT

Published: 18 December 2023 Publication History
  • Get Citation Alerts
  • Abstract

    Near-term quantum computers will operate in a noisy environment, without error correction. A critical problem for near-term quantum computing is laying out a logical circuit onto a physical device with limited connectivity between qubits. This is known as the qubit mapping and routing (QMR) problem, an intractable combinatorial problem. It is important to solve QMR as optimally as possible to reduce the amount of added noise, which may render a quantum computation useless. In this paper, we present a novel approach for optimally solving the QMR problem via a reduction to maximum satisfiability (MAXSAT). Additionally, we present two novel relaxation ideas that shrink the size of the MAXSAT constraints by exploiting the structure of a quantum circuit. Our thorough empirical evaluation demonstrates (1) the scalability of our approach compared to state-of-the-art optimal QMR techniques (solves more than 3x benchmarks with 40x speedup), (2) the significant cost reduction compared to state-of-the-art heuristic approaches (an average of ~5x swap reduction), and (3) the power of our proposed constraint relaxations.

    References

    [1]
    M. A. Nielsen and I. Chuang, "Quantum computation and quantum information," 2002.
    [2]
    J. Preskill, "Quantum computing in the nisq era and beyond," Quantum, vol. 2, p. 79, 2018.
    [3]
    A. Cowtan, S. Dilkes, R. Duncan, A. Krajenbrink, W. Simmons, and S. Sivarajah, "On the qubit routing problem," arXiv preprint arXiv:1902.08091, 2019.
    [4]
    B. Tan and J. Cong, "Optimality study of existing quantum computing layout synthesis tools," IEEE Transactions on Computers, vol. 70, no. 9, pp. 1363--1373, 2020.
    [5]
    B. Tan, "Optimal layout synthesis for quantum computing," in 2020 IEEE/ACM International Conference On Computer Aided Design (IC-CAD). IEEE, 2020, pp. 1--9.
    [6]
    R. Wille, L. Burgholzer, and A. Zulehner, "Mapping quantum circuits to ibm qx architectures using the minimal number of swap and h operations," in 2019 56th ACM/IEEE Design Automation Conference (DAC). IEEE, 2019, pp. 1--6.
    [7]
    P. Murali, J. M. Baker, A. J. Abhari, F. T. Chong, and M. Martonosi, "Noise-adaptive compiler mappings for noisy intermediate-scale quantum computers," arXiv preprint arXiv:1901.11054, 2019.
    [8]
    A. Biere, M. Heule, and H. van Maaren, Handbook of satisfiability. IOS press, 2009, vol. 185.
    [9]
    J. Backes, P. Bolignano, B. Cook, C. Dodge, A. Gacek, K. Luckow, N. Rungta, O. Tkachuk, and C. Varming, "Semantic-based automated reasoning for aws access policies using smt," in 2018 Formal Methods in Computer Aided Design (FMCAD). IEEE, 2018, pp. 1--9.
    [10]
    A. Zulehner, A. Paler, and R. Wille, "An efficient methodology for mapping quantum circuits to the ibm qx architectures," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 38, no. 7, pp. 1226--1236, 2018.
    [11]
    A. Solar-Lezama, Program synthesis by sketching. University of California, Berkeley, 2008.
    [12]
    E. Farhi, J. Goldstone, and S. Gutmann, "A quantum approximate optimization algorithm," arXiv preprint:1411.4028, 2014.
    [13]
    I. P. Gent and P. Nightingale, "A new encoding of alldifferent into sat," in International Workshop on Modelling and Reformulating Constraint Satisfaction, 2004, pp. 95--110.
    [14]
    S. Joshi, P. Kumar, V. Manquinho, R. Martins, A. Nadel, and S. Rao, "Open-WBO-Inc in MaxSAT Evaluation 2018," in MaxSAT Evaluation 2018: Solver and Benchmark Descriptions, vol. B-2018-2. Department of Computer Science, University of Helsinki, 2018, pp. 16--17.
    [15]
    R. Wille, D. Große, L. Teuber, G. W. Dueck, and R. Drechsler, "Revlib: An online resource for reversible functions and reversible circuits," in 38th International Symposium on Multiple Valued Logic (ismvl 2008), 2008, pp. 220--225.
    [16]
    A. S. Green, P. L. Lumsdaine, N. J. Ross, P. Selinger, and B. Valiron, "Quipper: A scalable quantum programming language," CoRR, vol. abs/1304.3390, 2013. [Online]. Available: http://arxiv.org/abs/1304.3390
    [17]
    A. JavadiAbhari, S. Patil, D. Kudrow, J. Heckey, A. Lvov, F. T. Chong, and M. Martonosi, "Scaffcc: A framework for compilation and analysis of quantum computing programs," in Proceedings of the 11th ACM Conference on Computing Frontiers, ser. CF '14. New York, NY, USA: Association for Computing Machinery, 2014. [Online].
    [18]
    G. Li, Y. Ding, and Y. Xie, "Tackling the qubit mapping problem for nisqera quantum devices," in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019, pp. 1001--1014.
    [19]
    M. Y. Siraichi, V. F. d. Santos, C. Collange, and F. M. Q. Pereira, "Qubit allocation as a combination of subgraph isomorphism and token swapping," Proceedings of the ACM on Programming Languages, vol. 3, no. OOPSLA, pp. 1--29, 2019.
    [20]
    D. Bhattacharjee, A. A. Saki, M. Alam, A. Chattopadhyay, and S. Ghosh, "Muqut: Multi-constraint quantum circuit mapping on nisq computers," in 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2019, pp. 1--7.
    [21]
    A. Chakrabarti, S. Sur-Kolay, and A. Chaudhury, "Linear nearest neighbor synthesis of reversible circuits by graph partitioning," arXiv preprint arXiv:1112.0564, 2011.
    [22]
    D. Bhattacharjee and A. Chattopadhyay, "Depth-optimal quantum circuit placement for arbitrary topologies," arXiv preprint arXiv:1703.08540, 2017.
    [23]
    M. Y. Siraichi, V. F. d. Santos, S. Collange, and F. M. Q. Pereira, "Qubit allocation," in Proceedings of the 2018 International Symposium on Code Generation and Optimization. ACM, 2018, pp. 113--125.
    [24]
    D. Venturelli, M. Do, E. G. Rieffel, and J. Frank, "Temporal planning for compilation of quantum approximate optimization circuits." in IJCAI, 2017, pp. 4440--4446.
    [25]
    D. Venturelli, M. Do, E. Rieffel, and J. Frank, "Compiling quantum circuits to realistic hardware architectures using temporal planners," Quantum Science and Technology, vol. 3, no. 2, p. 025004, 2018.
    [26]
    C. Zhang, A. B. Hayes, L. Qiu, Y. Jin, Y. Chen, and E. Z. Zhang, "Time-optimal qubit mapping," in Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021, pp. 360--374.
    [27]
    A. M. Childs, E. Schoute, and C. M. Unsal, "Circuit transformations for quantum architectures," arXiv preprint arXiv:1902.09102, 2019.
    [28]
    B. O'Gorman, W. J. Huggins, E. G. Rieffel, and K. B. Whaley, "Generalized swap networks for near-term quantum computing," arXiv preprint arXiv:1905.05118, 2019.
    [29]
    S. Sivarajah, S. Dilkes, A. Cowtan, W. Simmons, A. Edgington, and R. Duncan, "t|ket〉: a retargetable compiler for nisq devices," Quantum Science and Technology, vol. 6, no. 1, p. 014003, 2020.
    [30]
    D. Maslov, S. M. Falconer, and M. Mosca, "Quantum circuit placement: optimizing qubit-to-qubit interactions through mapping quantum circuits into a physical experiment," in Proceedings of the 44th annual Design Automation Conference. ACM, 2007, pp. 962--965.
    [31]
    Y. Hirata, M. Nakanishi, S. Yamashita, and Y. Nakashima, "An efficient conversion of quantum circuits to a linear nearest neighbor architecture," Quantum Information & Computation, vol. 11, no. 1, pp. 142--166, 2011.
    [32]
    M. Saeedi, R. Wille, and R. Drechsler, "Synthesis of quantum circuits for linear nearest neighbor architectures," Quantum Information Processing, vol. 10, no. 3, pp. 355--377, 2011.
    [33]
    A. Shafaei, M. Saeedi, and M. Pedram, "Optimization of quantum circuits for interaction distance in linear nearest neighbor architectures," in 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC). IEEE, 2013, pp. 1--6.
    [34]
    R. Wille, O. Keszocze, M. Walter, P. Rohrs, A. Chattopadhyay, and R. Drechsler, "Look-ahead schemes for nearest neighbor optimization of 1d and 2d quantum circuits," in 2016 21st Asia and South Pacific design automation conference (ASP-DAC). IEEE, 2016, pp. 292--297.
    [35]
    A. Zulehner and R. Wille, "Compiling su (4) quantum circuits to ibm qx architectures," in Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019, pp. 185--190.
    [36]
    A. Kole, S. Hillmich, K. Datta, R. Wille, and I. Sengupta, "Improved mapping of quantum circuits to ibm qx architectures," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 39, no. 10, pp. 2375--2383, 2019.
    [37]
    G. G. Guerreschi and J. Park, "Two-step approach to scheduling quantum circuits," Quantum Science and Technology, vol. 3, no. 4, p. 045003, 2018.
    [38]
    M. Alam, A. Ash-Saki, and S. Ghosh, "Circuit compilation methodologies for quantum approximate optimization algorithm," in 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). IEEE, 2020, pp. 215--228.
    [39]
    S. S. Tannu and M. K. Qureshi, "Not all qubits are created equal: a case for variability-aware policies for nisq-era quantum computers," in Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019, pp. 987--999.
    [40]
    P. Das, S. Tannu, S. Dangwal, and M. Qureshi, "Adapt: Mitigating idling errors in qubits via adaptive dynamical decoupling," in MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021, pp. 950--962.
    [41]
    P. Das, S. Tannu, and M. Qureshi, "Jigsaw: Boosting fidelity of nisq programs via measurement subsetting," in MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021, pp. 937--949.
    [42]
    P. Jurcevic, A. Javadi-Abhari, L. S. Bishop, I. Lauer, D. F. Bogorin, M. Brink, L. Capelluto, O. Günlük, T. Itoko, N. Kanazawa et al., "Demonstration of quantum volume 64 on a superconducting quantum computing system," Quantum Science and Technology, vol. 6, no. 2, p. 025020, 2021.
    [43]
    S. S. Tannu and M. Qureshi, "Ensemble of diverse mappings: Improving reliability of quantum computers by orchestrating dissimilar mistakes," in Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019, pp. 253--265.
    [44]
    T. Patel and D. Tiwari, "Veritas: accurately estimating the correct output on noisy intermediate-scale quantum computers," in 2020 SC20: International Conference for High Performance Computing, Networking, Storage and Analysis (SC). IEEE Computer Society, 2020, pp. 188--203.
    [45]
    S. Stein, N. Wiebe, Y. Ding, P. Bo, K. Kowalski, N. Baker, J. Ang, and A. Li, "Eqc: ensembled quantum computing for variational quantum algorithms," in Proceedings of the 49th Annual International Symposium on Computer Architecture, 2022, pp. 59--71.

    Cited By

    View all
    • (2023)An Ising-based Model for Qubit MappingProceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis10.1145/3624062.3624225(1492-1498)Online publication date: 12-Nov-2023
    • (2023)Exploiting the Regular Structure of Modern Quantum Architectures for Compiling and Optimizing Programs with Permutable OperatorsProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624751(108-124)Online publication date: 25-Mar-2023
    • (2023)QuCT: A Framework for Analyzing Quantum Circuit by Extracting Contextual and Topological FeaturesProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614274(494-508)Online publication date: 28-Oct-2023
    • Show More Cited By

    Index Terms

    1. Qubit Mapping and Routing via MaxSAT
          Index terms have been assigned to the content through auto-classification.

          Recommendations

          Comments

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          MICRO '22: Proceedings of the 55th Annual IEEE/ACM International Symposium on Microarchitecture
          October 2022
          1498 pages
          ISBN:9781665462723

          Sponsors

          Publisher

          IEEE Press

          Publication History

          Published: 18 December 2023

          Check for updates

          Author Tags

          1. quantum computing
          2. qubit mapping

          Qualifiers

          • Research-article

          Conference

          MICRO '22
          Sponsor:

          Acceptance Rates

          Overall Acceptance Rate 484 of 2,242 submissions, 22%

          Upcoming Conference

          MICRO '24

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)15
          • Downloads (Last 6 weeks)2
          Reflects downloads up to 27 Jul 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2023)An Ising-based Model for Qubit MappingProceedings of the SC '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis10.1145/3624062.3624225(1492-1498)Online publication date: 12-Nov-2023
          • (2023)Exploiting the Regular Structure of Modern Quantum Architectures for Compiling and Optimizing Programs with Permutable OperatorsProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 410.1145/3623278.3624751(108-124)Online publication date: 25-Mar-2023
          • (2023)QuCT: A Framework for Analyzing Quantum Circuit by Extracting Contextual and Topological FeaturesProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614274(494-508)Online publication date: 28-Oct-2023
          • (2023)Systems Architecture for Quantum Random Access MemoryProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3614270(526-538)Online publication date: 28-Oct-2023

          View Options

          Get Access

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media