Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article
Open access

A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers

Published: 01 April 2014 Publication History
  • Get Citation Alerts
  • Abstract

    Continued progressive downscaling of CMOS technologies threatens the reliability of chips for future embedded systems. We developed a novel design methodology for dependable wireless communication systems which exploits the mutual trade-offs of system performance, hardware reliability, and implementation complexity. Our cross-layer approach combines resilience techniques on hardware level with algorithmic techniques exploiting the available flexibility in the receiver. The overhead is minimized by recovering only from those hardware errors that have a strong impact on the system behavior. We apply our new methodology on a double-iterative MIMO-BICM receiver which belongs to the most complex systems in current communication standards.

    References

    [1]
    R. A. Abdallah and N. R. Shanbhag. 2009. Error-resilient low-power viterbi decoder architectures. IEEE Trans. Signal Process. 57, 12, 4906--4917.
    [2]
    M. Alles. 2010. Implementation aspects of advanced channel decoding. Ph.D. dissertation. University of Kaiserslautern.
    [3]
    L. G. Barbero and J. S. Thompson. 2008. Extending a fixed-complexity sphere decoder to obtain likelihood information for turbo-MIMO systems. IEEE Trans. Vehic. Technol. 57, 5, 2804--2814.
    [4]
    J. Bau, Q. Jacobson, R. Hankins, B. Saha, A. Tabatabai, and S. Mitra. 2007. Error resilient system architecture (ERSA) for probabilistic applications. In Proceedings of the IEEE International Workshop on Silicon Errors in Logic -- System Effects.
    [5]
    F. Borlenghi, E. M. Witte, G. Ascheid, H. Meyr, and A. Burg. 2011. A 772mbit/s 8.81bit/nj 90nm CMOS soft-input softoutput sphere decoder. In Proceedings of the IEEE Asian Solid State Circuits Conference (A-SSCC'11). 297--300.
    [6]
    C. Brehm, T. Ilnseher, and N. When. 2011. A scalable multi-ASIP architecture for standard compliant trellis decoding. In Proceedings of the International SoC Design Conference (ISOCC'11). 349--352.
    [7]
    C. Brehm, M. May, C. Gimmler, and N. When. 2012. A case study on error resilient architectures for wireless communication. In Proceedings of the 25th International Conference on Architecture of Computing Systems (ARCS'12). 13--24.
    [8]
    A. Burg, M. Borgmann, M. Wenk, M. Zellweger, W. Fichtner, and H. Bolcskei. 2005. VLSI implementation of MIMO detection using the sphere decoding algorithm. IEEE J. Solid-State Circ. 40, 7, 1566--1577.
    [9]
    L. N. Chakrapani, P. Korkmaz, B. E. S. Akgul, and K. V. Palem. 2007. Probabilistic system-on-a-chip architectures. ACM Trans. Des. Autom. Electron. Syst. 12, 3, 1--28.
    [10]
    X. Chen, A. Minwegen, Y. Hassan, D. Kammler, S. Li, T. Kempf, A. Chattopadhyay, and G. Ascheid. 2012. FLEXDET: Flexible, efficient multi-mode MIMO detection using reconfigurable ASIP. In Proceedings of the 20th Annual IEEE International Symposium on Field-Programmable Custom Computing Machines (FCCM'12). 69--76.
    [11]
    V. K. Chippa, D. Mohapatra, A. Raghunathan, K. Roy, and S. T. Chakradhar. 2010. Scalable effort hardware design: Exploiting algorithmic resilience for energy efficiency. In Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC'10). 555--560.
    [12]
    DAC Roundtable. 2010. Designing chips without guarantees. IEEE Des. Test Compute. 27, 5, 60--67.
    [13]
    S. Das, C. Tokunaga, S. Pant, W.-H. Ma, S. Kalaiselvan, K. Lai, D. M. Bull, and D. T. Blaauw. 2009. RazorII: In situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circ. 44, 1, 32--48.
    [14]
    A. Dixit and A. Wood. 2011. The impact of new technology on soft error rates. In Proceedings of the IEEE International Reliability Physics Symposium (IRPS'11).
    [15]
    A. Ejlali, B. M. Al-Hashimi, P. Rosinger, and S. G. Miremadi. 2007. Joint consideration of fault-tolerance, energy-efficiency and performance in on-chip networks. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'07). 1--6.
    [16]
    M. A. Elgamel and M. A. Bayoumi. 2003. Interconnect noise analysis and optimization in deep submicron technology. IEEE Circ. Syst. Mag. 3, 4, 6--17.
    [17]
    A. M. Eltawil and F. J. Kurdahi. 2006. System redundancy: A means of improving process variation yield degradation in memory arrays. In Proceedings of the International Symposium on VLSI Design, Automation and Test. 1--4.
    [18]
    D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. 2003. Razor: A low-power pipeline based on circuit-level timing speculation. In Proceedings of the 36th International Symposium on Microarchitecture. 7--18.
    [19]
    J. Geldmacher, K. Hueske, and J. Gotze. 2011. Turbo equalization for receivers with unreliable buffer memory. In Proceedings of the IEEE Vehicular Technology Conference (VTC'11). 1--5.
    [20]
    J. George, B. Marr, B. E. S. Akgul, and K. V. Palem. 2006. Probabilistic arithmetic and energy efficient embedded signal processing. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES'06). 158--168.
    [21]
    V. Gherman, S. Evain, M. Cartron, N. Seymour, and Y. Bonhomme. 2009. System-level hardware-based protection of memories against soft-errors. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09). 1222--1225.
    [22]
    C. Gimmler, F. Kienle, C. Weis, N. Wehn, and M. Alles. 2012. ASIC design of a gbit/s LDPC decoder for iterative MIMO systems. In Proceedings of the International Computing, Networking and Communications Conference (ICNC'12). 192--197.
    [23]
    C. Gimmler, T. Lehnigk-Emden, and N. Wehn. 2010. Low-complexity iteration control for MIMO-BICM systems. In Proceedings of the 21st IEEE International Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC'10).
    [24]
    C. Gimmler-Dumont, C. Brehm, and N. When. 2012a. Reliability study on system memories of an iterative MIMO-BICM system. In Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration.
    [25]
    C. Gimmler-Dumont, F. Kienle, B. Wu, and G. Masera. 2012b. A system view on iterative MIMO detection: Dynamic sphere detection versus fixed effort list detection. VLSI Des. J. Special issue Flexible Radio Des.: Trends Challenges Digital Baseband Implement, 2.
    [26]
    C. Gimmler-Dumont, P. Schlaefer, and N. When. 2013. ASIC implementation of a modified QR decomposition for tree search based MIMO detection. In Proceedings of the 4th IEEE Latin American Symposium on Circuits and Systems.
    [27]
    C. Gimmler-Dumont and N. When. 2013. An energy-efficient weakly programmable MIMO detector architecture. Adv. Radio Sci. 11, 131--136.
    [28]
    G. H. Golub and C. F. Van Loan. 1996. Matrix Computations 3rd Ed. The Johns Hopkins University Press.
    [29]
    A. Herkersdorf, V. Kleeberger, S. Nassif, U. Schlichtmann, C. Weis, and N. When. 2013. Cross-layer dependability modeling and abstraction in systems on chip. In Proceedings of the 9th IEEE Workshop on Silicon Errors in Logic - System Effects (SELSE'13).
    [30]
    B. M. Hochwald and S. Ten Brink. 2003. Achieving near-capacity on a multiple-antenna channel. IEEE Trans. Comm. 51, 389--399.
    [31]
    A. M. A. Hussien, M. S. Khairy, A. Khajeh, K. Amiri, A. M. Eltawil, and F. J. Kurdahi. 2010. A combined channel and hardware noise resilient viterbi decoder. In Proceedings of the Record of the 44th Asilomar Conference on Signals, Systems and Computers (ASILOMAR'10). 395--399.
    [32]
    A. R. Jafri, D. Karakolah, A. Baghdadi, and M. Jezequel. 2009. ASIP-based flexible MMSE-IC linear equalizer for MIMO turbo-equalization applications. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'09). 1620--1625.
    [33]
    M. S. Kairy, C.-A. Shen, A. M. Eltawil, and F. Kurdahi. 2012. Error resilient imo detector for memory-dominated wireless communication systems. In Proceedings of the IEEE Global Communications Conference (Globecom'12).
    [34]
    G. Karakonstantis, N. Banerjee, K. Roy, and C. Chakrabarti. 2007. Design methodology to trade off power, output quality and error resiliency: Application to color interpolation filtering. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD'07). 199--204.
    [35]
    G. Karakonstantis, G. Panagopoulos, and K. Roy. 2010. HERQULES: System level cross-layer design exploration for efficient energy-quality trade-offs. In Proceedings of the ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED'10). 117--122.
    [36]
    G. Karakonstantis, C. Roth, C. Benkeser, and A. Burg. 2012. On the exploitation of the inherent error resilience of wireless systems under unreliable silicon. In Proceedings of the IEEE Design Automation Conference (DAC'12).
    [37]
    A. Khajeh, M. Kim, N. Dutt, A. M. Eltawil, and F. J. Kurdahi. 2008. Cross-layer co-exploration of exploiting error resilience for video over wireless applications. In Proceedings of the IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia (ESTImedia'08). 13--18.
    [38]
    A. Khajeh, M. Kim, N. Dutt, A. M. Eltawil, and F. J. Kurdahi. 2012. Error-aware algorithm/architecture co-exploration for video over wireless applications. ACM Trans. Embed. Comput. Syst. 11S, 1.
    [39]
    F. Kienle. 2008. Low-density MIMO codes. In Proceedings of the 5th International Symposium on Turbo Codes and Related Topics. 107--112.
    [40]
    F. Kienle, N. Wehn, and H. Meyr. 2011. On complexity, energy- and implementation-efficiency of channel decoders. IEEE Trans. Comm. 59, 12, 3301--3310.
    [41]
    V. Kleeberger, C. Gimmler-Dumont, C. Weis, A. Herkersdorf, D. Mueller Gritschneder, S. Nassif, U. Schlichtmann, and N. When. 2013. A cross-layer technology-based study of the impact of memory errors on system resilience. IEEE Micro 33, 4, 46--55.
    [42]
    S. Krishnamohan and N. R. Mahapatra. 2004. A highly-efficient technique for reducing soft errors in static CMOS circuits. In Proceedings of the IEEE International Conference on Computer Design (ICCD'04). 126--131.
    [43]
    L. Leem, H. Cho, J. Bau, Q. A. Jacobson, and S. Mitra. 2010. ERSA: Error resilient system architecture for probabilistic applications. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 1560--1565.
    [44]
    Y. Liu, T. Zhang, and J. Hu. 2009. Design of voltage overscaled low-power trellis decoders in presence of process variations. IEEE Trans. VLSI Syst. 17, 3, 439--443.
    [45]
    M. May, M. Alles, and N. When. 2008. A case study in reliability-aware design: A resilient LDPC code decoder. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'08). 456--461.
    [46]
    M. May, T. Ilnseher, N. Wehn, and W. Raab. 2010a. A 150mbit/s 3GPP LTE turbo code decoder. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 1420--1425.
    [47]
    M. May, N. Wehn, A. Bouajila, J. Zeppenfeld, W. Stechele, A. Herkersdorf, D. Ziener, and J. Teich. 2010b. A rapid prototyping system for error-resilient multi-processor systems-on-chip. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition (DATE'10). 375--380.
    [48]
    S. Mitra, K. Brelsford, Y. M. Kim, H.-H. K. Lee, and Y. Li. 2011. Robust system design to overcome CMOS reliability challenges. IEEE J. Emerg. Selected Topics Circ. Syst. 1, 1, 30--41.
    [49]
    S. Mitra, M. Zhang, N. Seifert, T. M. Mak, and K. S. Kim. 2007. Built-in soft error resilience for robust system design. In Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology (ICICDT'07). 1--6.
    [50]
    D. Mohapatra, G. Karakonstantis, and K. Roy. 2009. Significance driven computation: A voltage-scalable, variation-aware, quality-tuning motion estimator. In Proceedings of the 14th ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'09). ACM Press, New York, 195--200.
    [51]
    S. Murali, T. Theocharides, N. Vijaykrishnan, M. J. Irwin, L. Benini, and G. De Micheli. 2005. Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comput. 22, 5, 434--442.
    [52]
    G. L. Nazar, C. Gimmler, and N. When. 2010. Implementation comparisons of the QR decomposition for MIMO detection. In Proceedings of the 23rd Symposium on Integrated Circuits and System Design (SBCCI'10). ACM Press, New York, 210--214.
    [53]
    M. Nicolaidis. 1999. Time redundancy based soft-error tolerance to rescue nanometer technologies. In Proceedings of the 17th IEEE VLSI Test Symposium. 86--94.
    [54]
    C. Novak, C. Studer, A. Burg, and G. Matz. 2010. The effect of unreliable LLR storage on the performance of MIMO-BICM. In Proceedings of the Record of the 44th Asilomar Conference on Signals, Systems and Computers (ASILOMAR'10). 736--740.
    [55]
    K. Nowka, S. Nassif, and K. Agarwal. 2008. Characterization and design for variability and reliability. In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC'08). 341--346.
    [56]
    K. V. Palem. 2005. Energy aware computing through probabilistic switching: A study of limits. IEEE Trans. Comput. 54, 9, 1123--1137.
    [57]
    W. Qian, X. Li, M. D. Riedel, K. Bazargan, and D. J. Lilja. 2011. An architecture for fault-tolerant computation with stochastic logic. IEEE Trans. Comput. 60, 1, 93--105.
    [58]
    N. Shanbhag. 2002. Reliable and energy-efficient digital signal processing. In Proceedings of the 39th Design Automation Conference (DAC'02). 830--835.
    [59]
    N. R. Shanbhag, R. A. Abdallah, R. Kumar, and D. L. Jones. 2010. Stochastic computation. In Proceedings of the 47th ACM/IEEE Design Automation Conference (DAC'10). 859--864.
    [60]
    C. Studer, S. Fateh, and D. Seethaler. 2011. ASIC implementation of soft-input soft-output MIMO detection using MMSE parallel interference cancellation. IEEE J. Solid-State Circ. 46, 7, 1754--1765.
    [61]
    Third Generation Partnership Project. 2008. 3GPP TS 36.212 V8.5.0; 3rd generation partnership project; Technical specification group radio access network; Evolved universal terrestrial radio access (E-UTRA); Multiplexing and channel coding (release 8). www.3gpp.org.
    [62]
    H. Vikalo, B. Hassibi, and T. Kailath. 2004. Iterative decoding for MIMO channels via modified sphere decoding. IEEE Trans. Wirel. Comm. 3, 6, 2299--2311.
    [63]
    E. M. Witte, F. Borlenghi, G. Ascheid, R. Leupers, and H. Meyr. 2010. A scalable VLSI architecture for soft-input soft-output single tree-search sphere decoding. IEEE Trans. Circ. Syst. II: Express Briefs 57, 9, 706--710.
    [64]
    K. W. Wong, C. Y. Tsui, R. S. K. Cheng, and W. H. Mow. 2002. A VLSI architecture of a K-best lattice decoding algorithm for MIMO channels. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS'02), vol. 3.
    [65]
    W. Wubben, R. Bohnke, V. Kuhn, and K.-D. Kammeyer. 2003. MMSE extension of V-blast based on sorted QR decomposition. In Proceedings of the 58th IEEE Vehicular Technology Conference (VTC'03), vol. 1. 508--512.
    [66]
    D. Wubben, R. Bohnke, J. Rinas, V. Kuhn, and K. D. Kammeyer. 2001. Efficient algorithm for decoding layered space-time codes. Electron. Lett. 37, 22, 1348--1350.
    [67]
    D. Zhang, I.-W. Lai, K. Nikitopoulos, and G. Ascheid. 2010. Informed message update for iterative MIMO demapping and turbo decoding. In Proceedings of the International Symposium on Information Theory and its Applications (ISITA'10). 873--878.

    Cited By

    View all
    • (2023)Exploring Deep Learning for Improved MIMO Performance: A Design Research Methodology Approach2023 International Conference on Electrical Engineering and Informatics (ICEEI)10.1109/ICEEI59426.2023.10346756(1-6)Online publication date: 10-Oct-2023
    • (2020)Design of Efficient, Dependable SoCs Based on a Cross-Layer-Reliability Approach with Emphasis on Wireless Communication as Application and DRAM MemoriesDependable Embedded Systems10.1007/978-3-030-52017-5_18(435-455)Online publication date: 10-Dec-2020
    • (2018)A methodology for experimental evaluation of signal detection methods in spectrum sensingPLOS ONE10.1371/journal.pone.019955013:6(e0199550)Online publication date: 22-Jun-2018
    • Show More Cited By

    Index Terms

    1. A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Embedded Computing Systems
        ACM Transactions on Embedded Computing Systems  Volume 13, Issue 4s
        Special Issue on Real-Time and Embedded Technology and Applications, Domain-Specific Multicore Computing, Cross-Layer Dependable Embedded Systems, and Application of Concurrency to System Design (ACSD'13)
        July 2014
        571 pages
        ISSN:1539-9087
        EISSN:1558-3465
        DOI:10.1145/2601432
        Issue’s Table of Contents
        Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 01 April 2014
        Accepted: 01 November 2013
        Revised: 01 May 2013
        Received: 01 August 2012
        Published in TECS Volume 13, Issue 4s

        Check for updates

        Author Tags

        1. Design for dependability
        2. MIMO-BICM systems
        3. algorithmic error resilience
        4. cross-layer reliability
        5. iterative MIMO detection and channel decoding
        6. wireless communication systems

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Funding Sources

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)30
        • Downloads (Last 6 weeks)2

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Exploring Deep Learning for Improved MIMO Performance: A Design Research Methodology Approach2023 International Conference on Electrical Engineering and Informatics (ICEEI)10.1109/ICEEI59426.2023.10346756(1-6)Online publication date: 10-Oct-2023
        • (2020)Design of Efficient, Dependable SoCs Based on a Cross-Layer-Reliability Approach with Emphasis on Wireless Communication as Application and DRAM MemoriesDependable Embedded Systems10.1007/978-3-030-52017-5_18(435-455)Online publication date: 10-Dec-2020
        • (2018)A methodology for experimental evaluation of signal detection methods in spectrum sensingPLOS ONE10.1371/journal.pone.019955013:6(e0199550)Online publication date: 22-Jun-2018
        • (2017)Discrete Transmit Power Devices in Dense Wireless Networks: Methodology and Case StudyIEEE Access10.1109/ACCESS.2017.26694035(1762-1778)Online publication date: 2017
        • (2016)Invited - Approximate computing with partially unreliable dynamic random access memory - approximate DRAMProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2905002(1-4)Online publication date: 5-Jun-2016
        • (2016)Improving the Performance of the Carrier Tracking Loop for GPS Receivers in Presence of Transient Errors due to PVT Variations2016 IEEE International Workshop on Signal Processing Systems (SiPS)10.1109/SiPS.2016.22(80-85)Online publication date: Oct-2016
        • (2015)DRAMSys: A Flexible DRAM Subsystem Design Space Exploration FrameworkIPSJ Transactions on System LSI Design Methodology10.2197/ipsjtsldm.8.638(63-74)Online publication date: 2015
        • (2015)Omitting RefreshProceedings of the 2015 International Symposium on Memory Systems10.1145/2818950.2818964(85-91)Online publication date: 5-Oct-2015
        • (2015)Reliable NCO carrier generators for GPS receivers2015 Conference on Design and Architectures for Signal and Image Processing (DASIP)10.1109/DASIP.2015.7367266(1-5)Online publication date: Sep-2015
        • (2014)Reliability analysis of MIMO channel preprocessing by fault injection2014 IEEE International Conference on Wireless for Space and Extreme Environments (WiSEE)10.1109/WiSEE.2014.6973066(1-6)Online publication date: Oct-2014

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Full Access

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media