Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Probabilistic system-on-a-chip architectures

Published: 22 May 2008 Publication History
  • Get Citation Alerts
  • Abstract

    Parameter variations, noise susceptibility, and increasing energy dissipation of cmos devices have been recognized as major challenges in circuit and microarchitecture design in the nanometer regime. Among these, parameter variations and noise susceptibility are increasingly causing cmos devices to behave in an “unreliable” or “probabilistic” manner. To address these challenges, a shift in design paradigm from current-day deterministic designs to “statistical” or “probabilistic” designs is deemed inevitable. To respond to this need, in this article, we introduce and study an entirely novel family of probabilistic architectures: the probabilistic system-on-a-chip (psoc). psoc architectures are based on cmos devices rendered probabilistic due to noise, referred to as probabilistic CMOS or PCMOS devices. We demonstrate that in addition to harnessing the probabilistic behavior of pcmos devices, psoc architectures yield significant improvements, both in energy consumed as well as performance in the context of probabilistic or randomized applications with broad utility. All of our application and architectural savings are quantified using the product of the energy and performance, denoted (energy × performance): The pcmos-based gains are as high as a substantial multiplicative factor of over 560 when compared to a competing energy-efficient cmos-based realization. Our architectural design is application specific and involves navigating design space spanning the algorithm (application), its architecture (psoc), and the probabilistic technology (pcmos).

    References

    [1]
    Bahar, R. I., Mundy, J., and Chen, J. 2003. A probabilistic-based design methodology for nanoscale computation. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design. 480--486.
    [2]
    Beinlich, I., Suermondt, G., Chavez, R., and Cooper, G. 1989. The ALARM monitoring system: A case study with two probabilistic inference techniques for belief networks. In Proceedings of the 2nd European Conference on AI and Medicine. 247--256.
    [3]
    Bennett, C. H. 1973. Logical reversibility of computation. IBM J. Res. Devel. 17, 525--532.
    [4]
    Borkar, S., Karnik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the 40th Design Automation Conference. 338--342.
    [5]
    Chaitin, G. 1977. Algorithmic information theory. IBM J. Res. Devel. 21, 350--359.
    [6]
    Chakrapani, L. N., Akgul, B. E. S., Cheemalavagu, S., Korkmaz, P., Palem, K. V., and Seshasayee, B. 2006. Ultra efficient embedded SOC architectures based on probabilistic CMOS technology. In Proceedings of the 9th Design Automation and Test in Europe (DATE). 1110--1115.
    [7]
    Chakrapani, L. N., Gyllenhaal, J., mei W. Hwu, W., Mahlke, S. A., Palem, K. V., and Rabbah, R. M. 2005. Trimaran: An infrastructure for research in instruction-level parallelism. In Proceedings of the 17th International Workshop on Languages and Compilers for Parallel Computing. Lecture Notes in Computer Science, vol. 3602. Springer, 32--41.
    [8]
    Cheemalavagu, S., Korkmaz, P., and Palem, K. V. 2004. Ultra low-energy computing via probabilistic algorithms and devices: CMOS device primitives and the energy-probability relationship. In Proceedings of the International Conference on Solid State Devices and Materials (Tokyo, Japan), 402--403.
    [9]
    Cheemalavagu, S., Korkmaz, P., Palem, K. V., Akgul, B. E. S., and Chakrapani, L. N. 2005. A probabilistic CMOS switch and its realization by exploiting noise. In Proceedings of the IFIP International Conference on Very Large Scale Integration.
    [10]
    Corp., I. 1998. SA-1100 microprocessor technical reference manual.
    [11]
    Ding, Y. Z. and Rabin, M. O. 2002. Hyper-Encryption and everlasting security. In Proceedings of the 19th Annual Symposium on Theoretical Aspects of Computer Science. Lecture Notes In Computer Science, vol. 2285. Springer, 1--26.
    [12]
    Dobrushin, R. L. and Ortyukov, S. I. 1977a. Lower bound for the redundancy of self-correcting arrangements of unreliable functional elements. Problems Inf. Transmis. 13, 3, 59--65.
    [13]
    Dobrushin, R. L. and Ortyukov, S. I. 1977b. Upper bound on the redundancy of self-correcting arrangements of unreliable elements. Problems Inf. Transmis. 13, 3, 201--20.
    [14]
    Feller, W. 1984. An Introduction to Probability Theory and its Applications. Wiley Eastern Limited.
    [15]
    Ferrenberg, A. M., Landau, D. P., and Wong, Y. J. 1992. Monte Carlo simulations: Hidden errors from “good” random number generators. Phys. Rev. Let 69, 3382--3384.
    [16]
    Fuks, H. 2002. Non-Deterministic density classifiation with diffusive probabilistic cellular automata. Phys. Rev. E, Statis. Nonlinear Soft Matter Phys. 66.
    [17]
    Gelenbe, E. 1989. Random neural networks with negative and positive signals and product form solution. Neural Comput. 1, 4, 502--511.
    [18]
    Gelenbe, E. and Batty, F. 1992. Minimum graph covering with the random neural network model. In Neural Networks: Advances and Applications, vol. 2.
    [19]
    George, J., Marr, B., Akgul, B. E. S., and Palem, K. 2006. Probabilistic arithmetic and energy efficient embedded signal processing. In International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES).
    [20]
    Trimaran. 2007. Trimaran: An infrastructure for research in instruction-level parallelism. http://www.trimaran.org.
    [21]
    Intel. 2007. Moore's law. http://www.intel.com/technology/silicon/mooreslaw/.
    [22]
    itrs. 2002. International technology roadmap for semiconductors 2002 update.
    [23]
    Jacome, M., He, C., de Veciana, G., and Bijansky, S. 2004. Defect tolerant probabilistic design paradigm for nanotechnologies. In Proceedings of the 41st Annual Conference on Design Automation. 596--601.
    [24]
    Kish, L. B. 2002. End of Moore's law: thermal (noise) death of integration in micro and nano electronics. Phys. Lett. A 305, 144--149.
    [25]
    Korkmaz, P., Akgul, B. E. S., Chakrapani, L. N., and Palem, K. V. 2006. Advocating noise as an agent for ultra low-energy computing: Probabilistic CMOS devices and their characteristics. Japanese J. Appl. Phys. 45, 4B (Apr.), 3307--3316.
    [26]
    Landauer, R. 1961. Irreversibility and heat generation in the computing process. IBM J. Res. Devel. 3, 183--191.
    [27]
    Leff, H. and Rex, A., eds. 1990. Maxwell's Demon: Entropy, Information, Computing. Princeton University Press, Princeton, NJ.
    [28]
    MacKay, D. 1992. Bayesian interpolation. Neural Comput. 4, 3.
    [29]
    Mano, M. M. 2001. Digital Design. Prentice Hall, Upper Saddle River, NJ.
    [30]
    Meindl, J. and Davis, J. 2000. The fundamental limit on binary switching energy for terascale integration (tsi). IEEE J. Solid-State Circ. 35, 10 (Oct.), 1515--1516.
    [31]
    Natori, K. and Sano, N. 1998. Scaling limit of digital circuits due to thermal noise. J. Appl. Phys. 83, 5019--5024.
    [32]
    Nepal, K., Bahar, R. I., Mundy, J., Patterson, W. R., and Zaslavsky, A. 2005. Designing logic circuits for probabilistic computation in the presence of noise. In Proceedings of the 42nd Design Automation Conference. 485--490.
    [33]
    Palem, K. V. 2003a. Energy aware algorithm design via probabilistic computing: From algorithms and models to Moore's law and novel (semiconductor) devices. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems (San Jose, CA), 113--117.
    [34]
    Palem, K. V. 2003b. Proof as experiment: Probabilistic algorithms from a thermodynamic perspective. In Proceedings of the International Symposium on Verification (Theory and Practice) (Taormina, Sicily).
    [35]
    Palem, K. V. 2005. Energy aware computing through probabilistic switching: A study of limits. IEEE Trans. Comput. 54, 9, 1123--1137.
    [36]
    Palem, K. V., Cheemalavagu, S., Korkmaz, P., and Akgul, B. E. 2005. Probabilistic and introverted switching to conserve energy in a digital system. US Patent 20050240787.
    [37]
    Park, S. and Miller, K. W. 1988. Random number generators: Good ones are hard to find. Commun. ACM 31.
    [38]
    Pfeffer, A. 2000. Probabilistic reasoning for complex systems. Ph.D. thesis, Stanford Univeristy.
    [39]
    Pippenger, N. 1985. On networks of noisy gates. In Proceedings of the 26th Annual IEEE Symposim on Foundations of Computer Science, 30--38.
    [40]
    Pippenger, N. 1989. Invariance of complexity measures for networks with unreliable gates. J. ACM 36, 531--539.
    [41]
    Pippenger, N., Stamoulis, G. D., and Tsitsiklis, J. N. 1991. On a lower bound for the redundancy of reliable networks with noisy gates. IEEE Trans. Inf. Theory 37, 3, 639--643.
    [42]
    Rabin, M. O. 1976. Probabilistic algorithms. In Algorithms and Complexity, New Directions and Recent Trends, J. F. Traub, ed. 29--39.
    [43]
    Nist. 2007. Random number generation and testing. http://csrc.nist.gov/rng/.
    [44]
    Sano, N. 2000. Increasing importance of electronic thermal noise in sub-0.1mm Si-MOSFETs. IEICE Trans. Electron. E83-C, 1203--1211.
    [45]
    Siewiorek, D. P. and Swarz, R. S. 1998. Reliable Computer Systems: Design and Evaluation. AK Peters, Ltd.
    [46]
    Sinha, A. and Chandrakasan, A. 2001. JouleTrack: A web based tool for software energy profiling. In Proceedings of the 38th Conference on Design Automation. 220--225.
    [47]
    Solovay, R. and Strassen, V. 1977. A fast Monte-Carlo test for primality. SIAM J. Comput.
    [48]
    von Neumann, J. 1956. Probabilistic logics and the synthesis of reliable organizms from unreliable components. Automata Studies, 43--98.
    [49]
    Wolfram, S. 2002. A New Kind of Science. Wolfram Media.
    [50]
    Yao, A. 1982. Theory and application of trapdoor functions. In Proceedings of the 23rd Symposium on the Foundations of Computer Science, 80--91.

    Cited By

    View all
    • (2021)Stochastic facilitation in heteroclinic communication channelsChaos: An Interdisciplinary Journal of Nonlinear Science10.1063/5.005448531:9Online publication date: 23-Sep-2021
    • (2020)Exploiting Errors for EfficiencyACM Computing Surveys10.1145/339489853:3(1-39)Online publication date: 12-Jun-2020
    • (2020)Implementation of Bayesian Fly Tracking Model using Analog Neuromorphic Circuits2020 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS45731.2020.9180631(1-5)Online publication date: Oct-2020
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 12, Issue 3
    August 2007
    427 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/1255456
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 22 May 2008
    Accepted: 01 March 2007
    Revised: 01 March 2007
    Received: 01 September 2006
    Published in TODAES Volume 12, Issue 3

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Embedded systems
    2. probabilistic computing

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)16
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 11 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2021)Stochastic facilitation in heteroclinic communication channelsChaos: An Interdisciplinary Journal of Nonlinear Science10.1063/5.005448531:9Online publication date: 23-Sep-2021
    • (2020)Exploiting Errors for EfficiencyACM Computing Surveys10.1145/339489853:3(1-39)Online publication date: 12-Jun-2020
    • (2020)Implementation of Bayesian Fly Tracking Model using Analog Neuromorphic Circuits2020 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS45731.2020.9180631(1-5)Online publication date: Oct-2020
    • (2020)Hardware implementation of Bayesian network building blocks with stochastic spintronic devicesScientific Reports10.1038/s41598-020-72842-610:1Online publication date: 29-Sep-2020
    • (2019)p-bits for probabilistic spin logicApplied Physics Reviews10.1063/1.50558606:1Online publication date: 11-Mar-2019
    • (2018)Algebras of Probability Distributions on Finite SetsProceedings of the Steklov Institute of Mathematics10.1134/S0081543818040223301:1(304-318)Online publication date: 17-Aug-2018
    • (2018)Implementing Bayesian networks with embedded stochastic MRAMAIP Advances10.1063/1.50213328:4Online publication date: 2-Apr-2018
    • (2016)Bayesian Estimation and Inference Using Stochastic ElectronicsFrontiers in Neuroscience10.3389/fnins.2016.0010410Online publication date: 18-Mar-2016
    • (2015)Does customizing inexactness help over simplistic precision (bit-width) reduction?Proceedings of the 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems10.5555/2830689.2830694(33-34)Online publication date: 4-Oct-2015
    • (2015)AxilogProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755938(812-817)Online publication date: 9-Mar-2015
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media