Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/275107.275119acmconferencesArticle/Chapter ViewAbstractPublication PagesfpgaConference Proceedingsconference-collections
Article
Free access

A hybrid complete-graph partial-crossbar routing architecture for multi-FPGA systems

Published: 01 March 1998 Publication History
  • Get Citation Alerts
  • Abstract

    Multi-FPGA systems (MFSs) are used as custom computing machines, logic emulators and rapid prototyping vehicles. A key aspect of these systems is their programmable routing architecture; the manner in which wires, FPGAs and Field-Programmable Interconnect Devices (FPIDs) are connected. Several routing architectures for MFSs have been proposed [Arno92] [Butt92] [Hauc94] [Apti96] [Vuil96] and previous research has shown that the partial crossbar is one of the best existing architectures [Kim96] [Khal97]. In this paper we propose a new routing architecture, called the Hybrid Complete-Graph and Partial-Crossbar (HCGP) which has superior speed and cost compared to a partial crossbar. The new architecture uses both hard-wired and programmable connections between the FPGAs.
    We compare the performance and cost of the HCGP and partial crossbar architectures experimentally, by mapping a set of 15 large benchmark circuits into each architecture. A customized set of partitioning and inter-chip routing tools were developed, with particular attention paid to architecture-appropriate inter-chip routing algorithms. We show that the cost of the partial crossbar (as measured by the number of pins on all FPGAs and FPIDs required to fit a design), is on average 20% more than the new HCGP architecture and as much as 35% more. Furthermore, the critical path delay for designs implemented on the partial crossbar increased, and were on average 9% more than the HCGP architecture and up to 26% more.
    Using our experimental approach, we also explore a key architecture parameter associated with the HCGP architecture: the proportion of hard-wired connections versus programmable connections, to determine its best value.

    References

    [1]
    Altera Corporation, Reconfigurable Interconnect Peripheral Processor (RIPPI0) Users Manual, Version 1.0, 1994.
    [2]
    Aptix Corporation, Product brief: The System Explorer MP4, 1996. Available on Aptix Web site: http://www, aptix.com.
    [3]
    J.M. Arnold, D. A. Buell, and E. G. Davis, "Splash 2' Proceedings of 4th Annual ACM Symposium on Parallel Algorithms and Architectures, pp. 316-322, 1992.
    [4]
    S. Brown, R. Francis, J. Rose, and Z. Vranesic, Field Programmable Gate Arrays, Kluwer Academic Publishers, 1992.
    [5]
    M. Butts, J. Batcheller, and J. Varghese, "An Efficient Logic Emulation System;' Proceedings of IEEE International Conference on Computer Design, pp. 138-141, 1992.
    [6]
    S. Casselman, "Virtual Computing and The Virtual Computer" Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines, pp. 43-48, 1993.
    [7]
    P.K. Chan, M. D. E Schlag, "Architectural Tradeoffs in Field-Programmable-Device-Based Computing Systems,' Proceedings of IEEE Workshop on FPGAs for Custom Computing Machines, pp. 152- 161, 1993.
    [8]
    T.H. Drayer, W. E. King, J. G. Tront, and R. W. Conners, "MORRPH: A Modular and Reprogrammable Real-time Processing Hardware" Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines, pp. 11-19, 1995.
    [9]
    Exemplar Logic, VHDL Synthesis Reference Manual, 1994.
    [10]
    C.M. Fiduccia, and R. M. Mattheyses, "A Linear- Time Heuristic for Improved Network Partitions", Proc. of 19th ACM/IEEE Design Automation Conference, pp. 241-247, 1982.
    [11]
    Proceedings of IEEE Workshops/Symposia on FPGAs for Custom Computing Machines, 1992 to 1996.
    [12]
    D. Galloway, D. Karchmer, P. Chow, D. Lewis, and J. Rose, "The Transmogfifier: The University of Toronto Field-Programmable System", CSRI Technical Report (CSRI-306), CSRI, University of Toronto, 1994.
    [13]
    D. Galloway, "The Transmogrifier C Hardware Description Language and Compiler for FPGAs;' Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines, pp. 136-144, 1995.
    [14]
    S. Hauck, G. Boriello, C. Ebeling, "Mesh Routing Topologies for FPGA Arrays", Proceedings of FPGA'94, 1994.
    [15]
    M. Hutton, J.P. Grossman, J. Rose and D. Corneil, "Characterization and Parameterized Random Generation of Digital Circuits;' Proc.of the Design Automarion Conference, pp. 94-99, 1996.
    [16]
    I-Cube, Inc., The IQX Family Data Sheet, May 1997. Available at: www. icube.com.
    [17]
    I-Cube, Inc., "Using FPID Devices in FPGA-based Prototyping" Application note, Part number:D-22- 002, February 1994.
    [18]
    Norman P. Jouppi, "Timing Analysis and Performance Improvement of MOS VLSI Designs" ih-~E Trans. on CAD, vol. CAD-6, no. 4, pp. 650-665, July 1987.
    [19]
    M.A.S. Khalid and J. Rose, "The Effect of Fixed F O Pin Positioning on The Routability and Speed of FPGAs;' Proceedings of The Third Canadian Workshop on Field-Programmable Devices (FPD'95), pp. 92-104, 1995.
    [20]
    M.A.S. Khalid and J. Rose, "Experimental Evaluation of Mesh and Partial Crossbar Routing Architectures for Multi-FPGA Systems" Proceedings of the Sixth IFIP International Workshop on Logic and Architecture Synthesis (IWLAS'97), 1997.
    [21]
    M.A.S. Khalid, Routing Architecture and Layout Synthesis for Multi-FPGA Systems, Ph.D. Thesis, University of Toronto, in progress.
    [22]
    C. Kim, H. Shin, "A Performance-Driven Logic Emulation System: FPGA Network Design and Performance-Dfiven Partitioning" IEEE Trans. on CAD, vol. 15, no. 5, pp. 560-568, May 1996.
    [23]
    D.W. Knapp, Behavioral Synthesis: Digital System Design Using the Synopsys Behavioral Compiler, Prentice Hall PTR, 1996.
    [24]
    D.M. Lewis, D. R. Galloway, M. Van Ierssel, J.' Rose, and P. Chow, "The Transmogrifier-2: A 1 Million Gate Rapid Prototyping System" Proceedings of FPGA'97, pp. 53-61, 1997.
    [25]
    S. Lin, Y. Lin, and T. Hwang, "Net Assignment for the FPGA-Based Logic Emulation System in the Folded-Clos Network Structure" IEEE Trans. on CAD, vol. 16, no. 3, pp. 316-320, March 1997.
    [26]
    Wai-Kei Mak, D. E Wong, "On Optimal Board- Level Routing for FPGA-based Logic Emulation" IEEE Trans. on CAD, vol. 16, no. 3, pp. 282-289, March 1997.
    [27]
    Wai-Kei Mak, D. E Wong, "Board-Level Multi-Terminal Net Routing for FPGA-based Logic Emulation/' ACM Trans. on Design Automation of Electronic Systems, vol. 2, no. 2, pp. 151-167, April 1997.
    [28]
    Programmable Electronics Performance Corporation, HDL models for different circuits (synthesis benchmarks) are available on their Web site: http// www. prep.org.
    [29]
    Quicktum Design Systems, Inc., System Realizer Data Sheet, 1996. Available on Quicktum Web site:http://www, quicktum.com.
    [30]
    M. Shih, E. S. Kuh, "Performance-Driven System Partitioning on Multi-Chip Modules" Proc. of the Design Automation Conference, pp. 53-56, 1992.
    [31]
    Synopsys, Inc., Design Compiler(Version 3.4a), Behavioral Compiler (Version 3.4a), and Library Compiler (Version 3.4a), Reference Manuals. Documents available on-line.
    [32]
    D.E. Van Den Bout, et ai, "Anyboard: An FPGA- Based Reconfigurable System" IEEE Design and Test of Computers, pp. 21-30, June 1992.
    [33]
    J. Vargese, M. Butts, and Jon Batcheller, "An Efficient Logic Emulation System", IEEE Trans. on VLSI Systems, vol. 1, no. 2, pp. 171-174, June 1993.
    [34]
    J.E. Vuillemin, P. Bertin, D. Roncin, M. Shand, H. Touati, and P. Boucard, "Programmable Active Memories: Reconfigurable Systems Come of Age/' IEEE Transactions on VLSI, Vol 4, No. 1, pp. 56-69, March 1996.
    [35]
    Xilinx, Inc., Product Specification: XC4000E and XC4000X Series FPGAs, Version 1.2, June 16, 1997. Available on Xilinx Web site: www. xilinx.com.
    [36]
    S. Yang, Logic Synthesis and Optimization Benchmarks User Guide, Version 3.0, Microelectronics Center of North Carolina, January 1991.

    Cited By

    View all
    • (2022)A Study of Reconfigurable Switch Architecture for Chiplets Interconnection2022 Tenth International Symposium on Computing and Networking Workshops (CANDARW)10.1109/CANDARW57323.2022.00049(69-75)Online publication date: Nov-2022
    • (2008)Problems of Micro-satellite OBC and solving method based on reconfiguration technique2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics10.1109/ISSCAA.2008.4776233(1-4)Online publication date: Dec-2008
    • (2008)Extending the SIMPPL SoC architectural framework to support application-specific architectures on multi-FPGA platformsProceedings of the 2008 International Conference on Application-Specific Systems, Architectures and Processors10.1109/ASAP.2008.4580156(67-72)Online publication date: 2-Jul-2008
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    FPGA '98: Proceedings of the 1998 ACM/SIGDA sixth international symposium on Field programmable gate arrays
    March 1998
    262 pages
    ISBN:0897919785
    DOI:10.1145/275107
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 01 March 1998

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    FPGA98
    Sponsor:
    FPGA98: 1998 International Symposium on Field Programmable Gate Arrays
    February 22 - 25, 1998
    California, Monterey, USA

    Acceptance Rates

    Overall Acceptance Rate 125 of 627 submissions, 20%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)21
    • Downloads (Last 6 weeks)4
    Reflects downloads up to 12 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)A Study of Reconfigurable Switch Architecture for Chiplets Interconnection2022 Tenth International Symposium on Computing and Networking Workshops (CANDARW)10.1109/CANDARW57323.2022.00049(69-75)Online publication date: Nov-2022
    • (2008)Problems of Micro-satellite OBC and solving method based on reconfiguration technique2008 2nd International Symposium on Systems and Control in Aerospace and Astronautics10.1109/ISSCAA.2008.4776233(1-4)Online publication date: Dec-2008
    • (2008)Extending the SIMPPL SoC architectural framework to support application-specific architectures on multi-FPGA platformsProceedings of the 2008 International Conference on Application-Specific Systems, Architectures and Processors10.1109/ASAP.2008.4580156(67-72)Online publication date: 2-Jul-2008
    • (2007)Application of Self-Configurability for Autonomous, Highly-Localized Self-RegulationProceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems10.1109/AHS.2007.32(397-404)Online publication date: 5-Aug-2007
    • (2006)Hardwired-clusters partial-crossbar: A hierarchical routing architecture for multi-FPGA systemsParallel and Distributed Processing10.1007/BFb0097944(597-605)Online publication date: 28-Oct-2006
    • (2006)Interconnect synthesis for reconfigurable multi-FPGA architecturesParallel and Distributed Processing10.1007/BFb0097943(588-596)Online publication date: 28-Oct-2006
    • (2005)FPGA-based rapid prototyping of digital signal processing systems48th Midwest Symposium on Circuits and Systems, 2005.10.1109/MWSCAS.2005.1594184(647-650 Vol. 1)Online publication date: 2005
    • (2004)Testing Layered Interconnection NetworksIEEE Transactions on Computers10.1109/TC.2004.1753:6(710-722)Online publication date: 1-Jun-2004
    • (2003)Designing BEEEURASIP Journal on Advances in Signal Processing10.1155/S11108657032121542003(502-513)Online publication date: 1-Jan-2003
    • (2003)Implementation of BEEProceedings of the 2003 ACM/SIGDA eleventh international symposium on Field programmable gate arrays10.1145/611817.611832(91-99)Online publication date: 23-Feb-2003
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Get Access

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media