Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/1509456.1509541acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

MC-Sim: an efficient simulation tool for MPSoC designs

Published: 10 November 2008 Publication History
  • Get Citation Alerts
  • Abstract

    The ability to integrate diverse components such as processor cores, memories, custom hardware blocks and complex network-on-chip (NoC) communication frameworks onto a single chip has greatly increased the design space available for system-on-chip (SoC) designers. Efficient and accurate performance estimation tools are needed to assist the designer in making design decisions. In this paper, we present MC-Sim, a heterogeneous multi-core simulator framework which is capable of accurately simulating a variety of processor, memory, NoC configurations and application specific coprocessors. We also describe a methodology to automatically generate fast, cycle-true behavioral, C-based simulators for coprocessors using a high-level synthesis tool and integrate them with MC-Sim, thus augmenting it with the capacity to simulate coprocessors. Our C-based simulators provide on an average 45x improvement in simulation speed over that of RTL descriptions. We have used this framework to simulate a number of real-life applications such as the MPEG4 decoder and litho-simulation, and experimented with a number of design choices. Our simulator framework is able to accurately model the performance of these applications (only 7% off the actual implementation) and allows us to explore the design space rapidly and achieve interesting design implementations

    References

    [1]
    H. G. Lee, N. Chang, U. Y. Ogras, and Radu Marculescu. On-chip communication architecture exploration: A quantitative evaluation of point-to-point, bus, and network-on-chip approaches. In ACM Trans. on Design Automation of Electronic Systems (TODAES), 12(3), August 2007.
    [2]
    Open SystemC Initiative. http://www.systemc.org.
    [3]
    D. Gajski, J. Zhu, R. Dömer, A. Gerstlauer, and S. Zhao. SpecC: Specification Language and Methodology. Kluwer Academic Publishers, 2000.
    [4]
    Abhijit Davare, Douglas Densmore, Trevor Meyerowitz, Alessandro Pinto, Alberto Sangiovanni-Vincentelli, Guang Yang, Haibo Zeng, Qi Zhu. A Next-Generation Design Framework for Platform-Based Design. Conference on Using Hardware Design and Verification Languages (DVCon), February, 2007.
    [5]
    Andy Pimentel, Pieter van der Wolf, Bob Hertzberger, Ed Deprettere, Jos T. J. van Eijndhoven, and Stamatis Vassiliadis. The Artemis architecture workbench. In Progress Workshop 2000, Oct. 2000.
    [6]
    J. Renau, B. Fraguela, J. Tuck, W. Liu, M. Prvulovic, L. Ceze, S. Sarangi, P. Sack, K. Strauss, and P. Montesinos. SESC Simulator, Jan. 2005, http://sesc.sourceforge.net.
    [7]
    D. C. Burger and T. M. Austin. The SimpleScalar Tool Set. Technical Report CS-TR-1997-1342, University of Wisconsin, Jun. 1997.
    [8]
    J. Cong, Y. Fan, G. Han, W. Jiang, and Z. Zhang. Platform-Based Behavior-Level and System-Level Synthesis. In Proceedings of IEEE International SOC Conference, pp. 199--202, 2006.
    [9]
    P. Kongetira, K. Aingaran, and K. Olukotun. Niagara: A 32-Way Multithreaded Sparc Processor. IEEE Micro, Vol. 25, No. 2, pp. 21--29, 2005.
    [10]
    M. Vachharajani, N. Vachharajani, D. A. Penry, J. A. Blome, and D. I. August. Microarchitectural Exploration with Liberty. In Proceedings of the 35th International Symposium on Microarchitecture (MICRO), Nov. 2002.
    [11]
    Xilinx Inc., http://www.xilinx.com.
    [12]
    J. Liu, M. Lajolo, and A. Sangiovanni-Vincentelli. Software Timing Analysis Using HW/SW Cosimulation and Instruction Set Simulator. In International Workshop on Hardware/Software Codesign - CODES/CASHE 1998.
    [13]
    D. I August, K. Keutzer, S. Malik, and R. Newton. A Disciplined Approach to the Development of Platform Architectures. SASIMI, Jan., 2001.
    [14]
    M. Kudlugi, S. Hassoun, C. Selvidge, and D. Pryor. A Transaction-based Unified Simulation/Emulation Architecture for Functional Verification. In Proceedings of the 38th Conference on Design Automation, pp. 623--628, 2001.
    [15]
    A. Hoffmann, H. Meyr, and R. Leupers. Architecture Exploration for Embedded Processors with LISA. Kluwer Academic Publishers, Dec. 2002.
    [16]
    A. Halambi, P. Grun, et al. EXPRESSION: A Language for Architecture Exploration Through Compiler/Simulator Retargetability. In Proceedings of the European Conference on Design, Automation and Test, Mar. 1999.
    [17]
    P. S. Magnusson, et al. Simics: A Full System Simulation Platform. Computer, Vol. 35, No.2, pp. 50--58, Feb. 2002.
    [18]
    Y. Nakamura, et al. A Fast Hardware/Software Co-Verification Method for System-On-a-Chip by Using a C/C++ Simulator and FPGA Emulator with Shared Register Communication. In Proceedings of the 41st Design Automation Conference, pp. 299--304, 2004.
    [19]
    M. D. Nava, el al. An Open Platform for Developing Multiprocessor SoCs. Computer, Vol.38, No.7, pp. 60--67, Jul. 2005.
    [20]
    L. Formaggio, F. Fummi, G. Pravadelli. A Timing-Accurate HW/SW Co-simulation of an ISS with SystemC. In Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, Sep. 2004.
    [21]
    D. Bertozzi, D. Bruni. Legacy SystemC Co-Simulation of Multi-Processor Systems-on-Chip. In Proceedings of the 2002 IEEE International Conference on Computer Design, pp. 494, Sep. 2002.
    [22]
    R. Cytron, J. Ferrante, B. K. Rosen, M. N. Wegman, F. K. Zadeck. Efficiently Computing Static Single Assignment Form and the Control Dependence Graph. ACM Transactions on Programming Languages and Systems, Vol. 13, Issue 4, pp. 451--490, 1991.
    [23]
    P. Schumacher and W. Chung. FPGA-based MPEG-4 codec. DSP Magazine, pp. 8--9, 2005.
    [24]
    J. Cong and Y. Zou. Lithographic Aerial Image Simulation with FPGA-Based Hardware Acceleration. In Proceedings of the 16th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2008.
    [25]
    Altera Corp., http://www.altera.com.
    [26]
    The LLVM Compiler Infrastructure., http://llvm.org.
    [27]
    XtremeData, Inc., http://www.xtremedatainc.com/
    [28]
    M. Rosenblum, S. A. Herrod, E. Witchel, and A. Gupta. Complete Computer Simulation: The SimOS Approach. IEEE Parallel and Distributed Technology, Vol. 3, No. 4, 1995.
    [29]
    L. Cai, and D. Gajski. Transaction Level Modeling: An Overview. In Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, pp. 19--24, 2003.
    [30]
    MPARM, http://www-micrel.deis.unibo.it/sitonew/research/mparm.html
    [31]
    W. J. Dally. Express Cubes: Improving the Performance of K-ary N-cube Interconnection Networks. IEEE Transactions on Computers, Vol. 40, No. 9, Sep. 1991.
    [32]
    M. M. K. Martin, et al. Multifacet's General Execution-driven Multiprocessor Simulator (GEMS) Toolset. SIGARCH Computer Architecture News, Vol. 33, No. 4, Sep. 2005.
    [33]
    N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The M5 Simulator: Modeling Networked Systems. IEEE Micro, Vol. 26, No. 4, 2006.
    [34]
    J. E. Veenstra and R. J. Fowler. MINT: A Front End for Efficient Simulation of Shared-Memory Multiprocessors. In Proceedings of the Second International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS), Jan. 1994.
    [35]
    C. Kim, D. Burger, and S. W. Keckler. An Adaptive, Non-uniform Cache Structure for Wire-Delay Dominated On-chip Caches. In Proceedings of ASPLOS-X, Oct. 2002.
    [36]
    H. Wang, X. Zhu, L-S. Peh, and S. Malik. Orion: A Power-Performance Simulator for Interconnection Networks. In Proceedings of MICRO 35, Nov. 2002.
    [37]
    F. Fummi, S. Martini, G. Perbellini, and M. Poncino. Native ISS-SystemC Integration for the Co-simulation of Multi-processor SoC. In Proceedings of the conference on Design, Automation and Test in Europe, pp. 10564, Feb. 2004.
    [38]
    J Trodden and D. Anderson. HyperTransport System Architecture. Addison-Wesley Developer's Press, 2003.
    [39]
    ARM website http://www.arm.com/products/CPUs/application.html
    [40]
    Magma, Inc http://www.magma-da.com.
    [41]
    S. Borkar. Design Challenges of Technology Scaling. IEEE Micro, Vol. 19, No. 4, pp. 23--29, 1999.

    Cited By

    View all
    • (2016)Simulating Reconfigurable Multiprocessor Systems-on-Chip with MPSoCSimACM Transactions on Embedded Computing Systems10.1145/297295216:1(1-24)Online publication date: 23-Oct-2016
    • (2015)PARADEProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840873(380-387)Online publication date: 2-Nov-2015
    • (2013)Early exploration for platform architecture instantiation with multi-mode application partitioningProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488896(1-8)Online publication date: 29-May-2013
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '08: Proceedings of the 2008 IEEE/ACM International Conference on Computer-Aided Design
    November 2008
    855 pages
    ISBN:9781424428205

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 10 November 2008

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ASE08
    Sponsor:
    ASE08: The International Conference on Computer-Aided Design
    November 10 - 13, 2008
    California, San Jose

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 28 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)Simulating Reconfigurable Multiprocessor Systems-on-Chip with MPSoCSimACM Transactions on Embedded Computing Systems10.1145/297295216:1(1-24)Online publication date: 23-Oct-2016
    • (2015)PARADEProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840873(380-387)Online publication date: 2-Nov-2015
    • (2013)Early exploration for platform architecture instantiation with multi-mode application partitioningProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488896(1-8)Online publication date: 29-May-2013
    • (2012)A cycle-count-accurate simulation platform with enhanced design exploration capabilityProceedings of the 5th International ICST Conference on Simulation Tools and Techniques10.5555/2263019.2263033(113-118)Online publication date: 19-Mar-2012
    • (2012)SESAM/Par4AllProceedings of the 2012 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools10.1145/2162131.2162133(9-16)Online publication date: 23-Jan-2012
    • (2010)ACESProceedings of the 47th Design Automation Conference10.1145/1837274.1837385(443-448)Online publication date: 13-Jun-2010
    • (2009)Multiband RF-interconnect for reconfigurable network-on-chip communicationsProceedings of the 11th international workshop on System level interconnect prediction10.1145/1572471.1572491(107-108)Online publication date: 26-Jul-2009
    • (2009)Synthesis of reconfigurable high-performance multicore systemsProceedings of the ACM/SIGDA international symposium on Field programmable gate arrays10.1145/1508128.1508159(201-208)Online publication date: 24-Feb-2009

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media