Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2162131.2162133acmotherconferencesArticle/Chapter ViewAbstractPublication PagesrapidoConference Proceedingsconference-collections
research-article

SESAM/Par4All: a tool for joint exploration of MPSoC architectures and dynamic dataflow code generation

Published: 23 January 2012 Publication History
  • Get Citation Alerts
  • Abstract

    Due to the increasing complexity of new multiprocessor systems on chip, flexible and accurate simulators become a necessity for exploring the vast design space solution. In a streaming execution model, only a well-balanced pipeline can lead to an efficient implementation. However with dynamic applications, each stage is prone to execution time variations. Only a joint exploration of the application space of parallelization possibilities, together with the possible MPSoC architectural choices, can lead to an efficient embedded system. In this paper, we associate a semi-automatic parallelization workflow based on the Par4All retargetable compiler, to the SESAM environment. This new framework can ease the application exploration and find the best tradeoffs between complexity and performance for asymmetric homogeneous MPSoCs and dynamic streaming application processing. A use case is performed with a radio sensing application implemented on a complete MPSoC platform.

    References

    [1]
    A. A. Jerraya and W. Wolf. Multiprocessor Systems-on-Chips. Elsevier, 2005.
    [2]
    M. Bertogna, M. Cirinei, and G. Lipari. Schedulability Analysis of Global Scheduling Algorithms on Multiprocessor Platforms. IEEE Transactions on Parallel and Distributed Systems, 20(4):553--566, April 2008.
    [3]
    N. Ventroux, A. Guerre, T. Sassolas, L. Moutaoukil, C. Bechara, and R. David. SESAM: an MPSoC Simulation Environment for Dynamic Application Processing. In IEEE International Conference on Embedded Software and Systems (ICESS), Bradford, UK, July 2010.
    [4]
    N. Ventroux, T. Sassolas, R. David, G. Blanc, A. Guerre, and C. Bechara. SESAM Extension For Fast MPSoC Architectural Exploration And Dynamic Streaming Application. In IEEE/IFIP International Conference on VLSI and System-on-Chip (VLSI-SoC), Madrid, Spain, September 2010.
    [5]
    HPC Project. Par4All, automatic parallelization, http://www.par4all.org.
    [6]
    J. J. Yi and D. J. Lilja. Simulation of computer architectures: simulators, benchmarks, methodologies, and recommendations. IEEE Transactions on Computers, 55(3):268--280, March 2006.
    [7]
    J. Cong, K. Gururaj, G. Han, A. Kaplan, M. Naik, and G. Reinman. MC-Sim: An efficient simulation tool for MPSoC designs. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pages 364--371, San Jose, USA, November 2008.
    [8]
    J. Gibson et al. FLASH vs. (Simulated) FLASH: Closing the simulation loop. In ACM ASPLOS, Pittsburgh, USA, March 2000.
    [9]
    V. Puente, J. Gregorio, and R. Beivide. SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems. In Euromicro Workshop on Parallel, Distributed and Network-based Processing, Canary Islands, Spain, January 2002.
    [10]
    S. Boukhechem and E.-B. Bouernnane. TLM Platform Based on SystemC For STARSoC Design Space Exploration. In NASA/ESA Conference on Adaptive Hardware and Systems, Noordwijk, The Netherlands, June 2008.
    [11]
    G. Beltrame, C. Bolchini, L. Fossati, A. Miele, and D. Sciuto. ReSP: A non-intrusive Transaction-Level Reflective MPSoC Simulation Platform for design space exploration. In Asia and South Pacific Design Automation Conference (ASPDAC), pages 673--678, Seoul, Korea, January 2008.
    [12]
    L. Benini, D. Bertozzi, A. Bogliolo, F. Menichelli, and M. Olivieri. MPARM: Exploring the Multi-Processor SoC Design Space with SystemC. VLSI Signal Processing Systems, 41(2):169--182, 2005.
    [13]
    A.D. Pimentel, C. Erbas, and S. Polstra. A Systematic approach to exploring embedded system architectures at multiple abstraction levels. IEEE Transactions on Computers, 55(2):99--112, February 2006.
    [14]
    H. Shen, P. Gerin, and F. Pétrot. Configurable Heterogeneous MPSoC Architecture Exploration Using Abstraction Levels. In IEEE/IFIP International Symposium on Rapid System Prototyping, Paris, France, June 2009.
    [15]
    E. Viaud, F. Pêcheux, and A. Greiner. An efficient TLM/T modeling and simulation environment based on conservative parallel discrete event principles. In DATE, Nice, France, April 2009.
    [16]
    A. Wieferink et al. A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platforms. In International Conference on Design, Automation and Test in Europe (DATE), Paris, France, February 2004.
    [17]
    P. Paulin, C. Pilkington, and E. Bensoudane. StepNP: A System-Level Exploration Platform for Network Processors. IEEE Design & Test, 19(6):17--26, November 2002.
    [18]
    D. August, J. Chang, S. Girbal., D. Gracia-Perez., G. Mouchard, D. Penry, O. Temam, and N. Vachharajani. UNISIM: An Open Simulation Environment and Library for Complex Architecture Design and Collaborative Development. Computer Architecture Letters, 6(2):45--48, 2007.
    [19]
    M. Gordon et al. A stream compiler for communication-exposed architectures. In Proceedings of the 10th international conference on Architectural support for programming languages and operating systems, ASPLOS-X, pages 291--303, New York, NY, USA, 2002. ACM.
    [20]
    H. Vandierendonck, P. Pratikakis, and D. S. Nikolopoulos. Parallel programming of general-purpose programs using task-based programming models. In Proceedings of the 3rd USENIX conference on Hot topic in parallelism, HotPar'11, pages 13--13, Berkeley, CA, USA, 2011. USENIX Association.
    [21]
    Y. Choi, Y. Lin, N. Chong, S. Mahlke, and T. Mudge. Stream Compilation for Real-Time Embedded Multicore Systems. In IEEE/ACM International Symposium on Code Generation and Optimization (CGO), CGO '09, pages 210--220, Washington, DC, USA, 2009. IEEE Computer Society.
    [22]
    J.M. Perez, R. M. Badia, and J. Labarta. A dependency-aware task-based programming environment for multi-core architectures. In 2008 IEEE International Conference on Cluster Computing, September 2008.
    [23]
    E. Ayguade et al. The design of openmp tasks. IEEE Transactions on Parallel and Distributed Systems, 20(3), November 2009.
    [24]
    B. Creusillet and F. Irigoin. Interprocedural Array Region Analyses. International Journal of Parallel Programming (special issue on LCPC), 24(6):513--546, 1996.
    [25]
    C. Augonnet, S. Thibault, R. Namyst, and P-A. Wacrenier. StarPU: a unified platform for task scheduling on heterogeneous multicore architectures. Concurrency and Computation: Practice and Experience, 2010.
    [26]
    A. Guerre, N. Ventroux, R. David, and A. Merigot. Approximate-Timed Transaction Level Modeling for MPSoC Exploration: a Network-on-Chip Case Study. In Euromicro Conference on Digital System Design (DSD), Patras, Greece, August 2009.
    [27]
    ModelSim. http://www.model.com/.
    [28]
    C. Bechara, N. Ventroux, and D. Etiemble. Towards a Parameterizable Cycle-Accurate ISS in ArchC. In ACS/IEEE International Conference on Computer Systems and Applications (AICCSA), Hammamet, Tunisia, May 2010.
    [29]
    T. Sassolas, N. Ventroux, N. Boudouani, and G. Blanc. A Power-Aware Online Scheduling Algorithm for Streaming Applications in Embedded MPSoC. In IEEE International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS), Grenoble, France, September 2010.
    [30]
    T. Gupta, C. Bertolini, O. Heron, N. Ventroux, T. Zimmer, and F. Marc. High Level Power and Energy Exploration using ArchC. In IEEE International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), Petrópolis, Brazil, October 2010.
    [31]
    The GNU GDB project. http://www.gnu.org/software/gdb/.
    [32]
    M. Amini, C. Ancourt, F. Coelho, B. Creusillet, S. Guelton, F. Irigoin, P. Jouvelot, R. Keryell, and P. Villalon. PIPS Is not (only) Polyhedral Software. In First International Workshop on Polyhedral Compilation Techniques, IMPACT, Chamonix, France, April 2011.
    [33]
    S. Guelton. Building Source-to-Source Compilers for Heterogeneous Targets. PhD thesis, ENSTB, 2011.
    [34]
    S. Guelton, R. Keryell, and F. Irigoin. Compilation pour cible hétérogènes: automatisation des analyses, transformations et décisions nécessaires. In 20ème Rencontres Francaises du Parallélisme, Renpar, Saint Malo, France, May 2011.
    [35]
    B. Creusillet. Automatic Task Generation on the SCMP architecture for data flow applications. http://www.par4all.org/documentation/publications, 2011.
    [36]
    N. Ventroux and R. David. SCMP Architecture: An Asymmetric Multiprocessor System-on-Chip for Dynamic Applications. In ACM International Forum on Next Generation Multicore/Manycore Technologies (IFMT), Saint-Malo, France, May 2010.
    [37]
    C. Bechara, A. Berhault, N. Ventroux, S. Chevobbe, Y. Lhuillier, R. David, and D. Etiemble. A Small Footprint Interleaved Multithreaded Processor for Embedded Systems. In IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Beirut, Lebanon, December 2011.

    Cited By

    View all
    • (2024)Task Mapping and Scheduling on RISC-V MIMD Processor With Vector Accelerator Using Model-Based ParallelizationIEEE Access10.1109/ACCESS.2024.337390212(35779-35795)Online publication date: 2024
    • (2022)Pegasus: Performance Engineering for Software Applications Targeting HPC SystemsIEEE Transactions on Software Engineering10.1109/TSE.2020.300125748:3(732-754)Online publication date: 1-Mar-2022
    • (2021)AAP4All: An Adaptive Auto Parallelization of Serial Code for HPC SystemsIntelligent Automation & Soft Computing10.32604/iasc.2021.01904429:3(615-639)Online publication date: 2021
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Other conferences
    RAPIDO '12: Proceedings of the 2012 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools
    January 2012
    44 pages
    ISBN:9781450311144
    DOI:10.1145/2162131
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    • HiPEAC: HiPEAC Network of Excellence

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 23 January 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. MPSoC
    2. SystemC
    3. TLM
    4. performance analysis
    5. processor modeling
    6. simulation
    7. source-to-source compilation

    Qualifiers

    • Research-article

    Funding Sources

    • ARTEMIS Joint Undertaking

    Conference

    RAPIDO '12
    Sponsor:
    • HiPEAC
    RAPIDO '12: Methods and Tools
    January 23, 2012
    Paris, France

    Acceptance Rates

    Overall Acceptance Rate 14 of 28 submissions, 50%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 28 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)Task Mapping and Scheduling on RISC-V MIMD Processor With Vector Accelerator Using Model-Based ParallelizationIEEE Access10.1109/ACCESS.2024.337390212(35779-35795)Online publication date: 2024
    • (2022)Pegasus: Performance Engineering for Software Applications Targeting HPC SystemsIEEE Transactions on Software Engineering10.1109/TSE.2020.300125748:3(732-754)Online publication date: 1-Mar-2022
    • (2021)AAP4All: An Adaptive Auto Parallelization of Serial Code for HPC SystemsIntelligent Automation & Soft Computing10.32604/iasc.2021.01904429:3(615-639)Online publication date: 2021
    • (2021)Preliminary study on the automatic parallelism optimization model for image enhancement algorithms based on Intel's® Xeon PhiConcurrency and Computation: Practice and Experience10.1002/cpe.626033:16Online publication date: 6-May-2021
    • (2019)Source-to-Source Parallelization Compilers for Scientific Shared-Memory Multi-core and Accelerated Multiprocessing: Analysis, Pitfalls, Enhancement and PotentialInternational Journal of Parallel Programming10.1007/s10766-019-00640-3Online publication date: 8-Aug-2019
    • (2019)A study on popular auto‐parallelization frameworksConcurrency and Computation: Practice and Experience10.1002/cpe.516831:17Online publication date: 11-Feb-2019
    • (2018)AutoPar-ClavaProceedings of the 9th Workshop and 7th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms10.1145/3183767.3183770(13-19)Online publication date: 23-Jan-2018
    • (2017)Identifying pitfalls in automatic parallelization of NAS parallel benchmarks2017 National Conference on Parallel Computing Technologies (PARCOMPTECH)10.1109/PARCOMPTECH.2017.8068329(1-6)Online publication date: Feb-2017
    • (2016)Multilevel MPSoC Performance Evaluation, ISS Model with Timing and Priority ManagementAdvances in Ubiquitous Networking10.1007/978-981-287-990-5_34(425-437)Online publication date: 2-Feb-2016
    • (2014)Author retrospective for semantical interprocedural parallelizationACM International Conference on Supercomputing 25th Anniversary Volume10.1145/2591635.2591645(12-14)Online publication date: 10-Jun-2014
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media