Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/775832.775979acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Gain-based technology mapping for discrete-size cell libraries

Published: 02 June 2003 Publication History
  • Get Citation Alerts
  • Abstract

    In this paper we describe a technology mapping technique based on the logical effort theory [13]. First, we appropriately characterize a given standard cell library and extract from it a set of cell classes. Each cell-class is assigned a constant-delay model and corresponding load-bounds, which define the conditions of the delay model's validity. Next, we perform technology mapping using the classes determined in the first step. We propose several effective area-optimization heuristics which allow us to apply our algorithm directly to general graphs. Experimental results show that our gain-based mapping algorithm achieves reduced delay with less area, compared to the mapper in SIS [15]. By adjusting the constant delay model associated with each class, we determine the area-delay trade-off curve. We achieve the best area-delay trade-off using a design-specific constant delay models.

    References

    [1]
    F. Beeftink, P. Kudva, D. Kung, and L. Stok, "Gate-Size Selection for Standard Cell Libraries", in Proc ICCAD, 1998, pp. 545--550.
    [2]
    K. D. Boese, A. B. Kahng, and S. Mantik, "On the relevance of Wire Load Models", Proc. SLIP 2001, pp. 91--98.
    [3]
    K. Chaudhary, M. Pedram, "A Near-Optimal Algorithm for Technology Mapping Minimizing Area under Delay Constraints", in Proc. DAC, 1992, pp. 492--498.
    [4]
    J. Grodstein, E. Lehman, H. Harkness, B. Grundmann, Y. Watanabe, "A Delay Model for Logic Synthesis of Continuously-Sized Networks", in Proc. ICCAD, pp. 458--462, 1995.
    [5]
    C. M. Hoffman and M. J. O'Donnell, "Pattern Matching in Trees", Journal of the Association for Computing Machinary, pages 68--95, January 1982.
    [6]
    D. J. Jongeneel, R. Otten, Y. Watanabe, and R. K. Brayton, "Area and Search Space Control for Technology Mapping", DAC 2000.
    [7]
    K. Keutzer, "DAGON: Technology Binding and Local Optimization by DAG Matching". Proc DAC, 1987, pp.341--347.
    [8]
    Y. Kukimoto, R. K. Brayton, P. Sawkar, "Delay-optimal technology mapping by dag covering", Proc. DAC, June 1998.
    [9]
    E. Lehman, Y. Watanabe, J. Grodstein, and H. Harkness, "Logic Decomposition during Technology Mapping", IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, pages 813--833, 1997.
    [10]
    A. Lu, G. Stenz, H. Eisenmann, F. M. Johannes, "Technology mapping for simultaneous gate and interconnect Optimization", IEE Proc. -Comput. Digit. Tech. Vol. 146, No. 1. 1, Jan. 1999.
    [11]
    P. Rezvani, A. H. Ajami, M. Pedram, and H. Savoj, "LEOPARD: A Logical Effort-based fanout OPtimizer for Area and Delay", in Proc. ICCAD, 1999, pp. 516--518.
    [12]
    R. Rudell, "Logic Synthesis for VLSI Design", Memo UCB/ERL M89/49, U. C. Berkeley, 1989.
    [13]
    I. Sutherland, R. Sproull, "The theory of logical effort: designing for speed on the back of an envelope", Advanced Research in VLSI, 1991.
    [14]
    L. Stok, M. A. Iyer, and A.J. Sullivan, "Wavefront technology mapping", Proc. DATE, pp. 531--536, 1999.
    [15]
    E. Sentovich, K. Singh, C. Moon, H. Savoj, R. Brayton, and A. Sangiovanni-Vincentelli, "Sequential circuit design using synthesis and optimization", in IEEE Int. Conf. Comput. Design, 1992.
    [16]
    H. J. Touati, "Performance Oriented Technology Mapping", Ph. D thesis, UC Berkeley, 1990, pp. 79--97.

    Cited By

    View all
    • (2023)AiMap: Learning to Improve Technology Mapping for ASICs via Delay Prediction2023 IEEE 41st International Conference on Computer Design (ICCD)10.1109/ICCD58817.2023.00059(344-347)Online publication date: 6-Nov-2023
    • (2016)Technology Mapping Method Using Integer Linear Programming for Low Power Consumption and High Performance in General-Synchronous FrameworkIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E99.A.1366E99.A:7(1366-1373)Online publication date: 2016
    • (2009)Low-power fanout optimization using multi threshold voltages and multi channel lengthsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.201399228:4(478-489)Online publication date: 1-Apr-2009
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '03: Proceedings of the 40th annual Design Automation Conference
    June 2003
    1014 pages
    ISBN:1581136889
    DOI:10.1145/775832
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 02 June 2003

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. gain
    2. logic effort
    3. technology mapping

    Qualifiers

    • Article

    Conference

    DAC03
    Sponsor:

    Acceptance Rates

    DAC '03 Paper Acceptance Rate 152 of 628 submissions, 24%;
    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 29 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)AiMap: Learning to Improve Technology Mapping for ASICs via Delay Prediction2023 IEEE 41st International Conference on Computer Design (ICCD)10.1109/ICCD58817.2023.00059(344-347)Online publication date: 6-Nov-2023
    • (2016)Technology Mapping Method Using Integer Linear Programming for Low Power Consumption and High Performance in General-Synchronous FrameworkIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E99.A.1366E99.A:7(1366-1373)Online publication date: 2016
    • (2009)Low-power fanout optimization using multi threshold voltages and multi channel lengthsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2009.201399228:4(478-489)Online publication date: 1-Apr-2009
    • (2008)Logic synthesis for reducing leakage power consumption under workload uncertaintyProceedings of the 12th WSEAS international conference on Circuits10.5555/1576429.1576496(351-355)Online publication date: 22-Jul-2008
    • (2008)Technology Mapping Using Logical Effort for Solving the Load-Distribution ProblemIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2007.90706727:1(45-58)Online publication date: 1-Jan-2008
    • (2007)Congestion Optimization During Technology Mapping and Logic SynthesisRouting Congestion in VLSI Circuits: Estimation and Optimization10.1007/0-387-48550-3_6(189-229)Online publication date: 2007
    • (2006)Gain-based technology mapping for minimum runtime leakage under input vector uncertaintyProceedings of the 43rd annual Design Automation Conference10.1145/1146909.1147046(522-527)Online publication date: 24-Jul-2006
    • (2006)System-on-Chip Design: Engineering or Art2006 25th International Conference on Microelectronics10.1109/ICMEL.2006.1650978(372-379)Online publication date: 2006
    • (2005)Fast comparisons of circuit implementationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2005.86272713:12(1329-1339)Online publication date: 1-Dec-2005
    • (2004)Logical effort based technology mappingProceedings of the 2004 IEEE/ACM International conference on Computer-aided design10.1109/ICCAD.2004.1382611(419-422)Online publication date: 7-Nov-2004

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media