Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Circuit optimization techniques to mitigate the effects of soft errors in combinational logic

Published: 28 December 2009 Publication History
  • Get Citation Alerts
  • Abstract

    Soft errors in combinational logic circuits are emerging as a significant reliability problem for VLSI designs. Technology scaling trends indicate that the soft error rates (SER) of logic circuits will be dominant factor for future technology generations. SER mitigation in logic can be accomplished by optimizing either the gates inside a logic block or the flipflops present on the block boundaries. We present novel circuit optimization techniques that target these elements separately as well as in unison to reduce the SER of combinational logic circuits.
    First, we describe the construction of a new class of flip-flop variants that leverage the effect of temporal masking by selectively increasing the length of the latching window thereby preventing faulty transients from being registered. In contrast to previous flip-flop designs that rely on logic duplication and complicated circuit design styles, the new variants are redesigned from the library flip-flop using efficient transistor sizing. We then propose a flip-flop selection method that uses slack information at each primary output node to determine the flip-flop configuration that produces maximum SER savings. Next, we propose a gate sizing algorithm that trades off SER reduction and area overhead. This approach first computes bounds on the maximum achievable SER reduction by resizing a gate. This bound is then used to prune the circuit graph, arriving at a smaller set of candidate gates on which we perform incremental sensitivity computations to determine the gates that are the largest contributors to circuit SER. Third, we propose a unified, co-optimization approach combining flip-flop selection with the gate sizing algorithm. The joint optimization algorithm produces larger SER reductions while incurring smaller circuit overhead than either technique taken in isolation. Experimental results on a variety of benchmarks show average SER reductions of 10.7X with gate sizing, 5.7X with flip-flop assignment, and 30.1X for the combined optimization approach, with no delay penalties and area overheads within 5-6%. The runtimes for the optimization algorithms are on the order of 1-3 minutes.

    References

    [1]
    Abrishami, H., Hatami, S., Amerlifard, B., and Pedram, M. 2008. Characterization and design of sequential elements to combat soft errors. In Proceedings of the International Conference on Computer Design (ICCD). 194--199.
    [2]
    Almukhaism, S., Makris, Y., Yang, Y., and Veneris, A. 2006. Seamless integration of SER in rewiring-based design space exploration. International Test Conference (ITC). 1--9.
    [3]
    Baumann, R. 2005. Soft errors in advanced computer systems. IEEE Des. Test Comput. 22, 3, 258--266.
    [4]
    Brglez, F. and Fujiwara, H. 1985. A neural netlist of ten combinational benchmark circuits and translator in Fortran. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 663--698.
    [5]
    Cha, H. and Patel, J. 1994. Latch design for transient pulse tolerance. In Proceedings of the International Conference on Computer Design (ICCD). 385--388.
    [6]
    Choudhury, M., Zhou, Q., and Mohanram, K. 2006. Design optimization for single-event upset robustness using simultaneous dual-VDD and sizing techniques. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 204--209.
    [7]
    Curran, B., Camporese, P., Carey, S., Yuen, C., Chan, Y., Clemen, R., Crea, R., Hoffman, D., Koprowski, T., Mayo, M., McPherson, T., Northrop, G., Sigal, L., Smith, H., Tanzi, F. and Williams, P. 2001. A 1.1 GHz first 64 b generation Z900 microprocessor. In Proceedings of the International Solid-State Circuits Conference (ISSCC). 238--239.
    [8]
    Dhillon, Y., Diril, A. and Chatterjee, A. 2005. Load and logic co-optimization for design of soft-error resilient nanometer CMOS circuits. In Proceedings of the International Online Testing Symposium (IOLTS). 35--40.
    [9]
    Elakkumanan, P., Prasad, K., and Sridhar, R. 2006. Time redundancy based scan flip-flop reuse to reduce SER of combinational logic. In Proceedings of the International Symposium. on Quality Electronic Design (ISQED). 617--622.
    [10]
    Faccio, F., Kloukinas, K., Marchioro, A., Calin, T., Cosculluella, J., Nicolaidis, M., and Velazco, R. 1999. Single event effects in static and dynamic registers in a 0.25μm technology. IEEE Trans. Nucl. Sci. 46, 6, 1434--1439.
    [11]
    Fishburn, J. and Dunlop, A. 1985. TILOS: A posynomial programming approach to transistor sizing. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 326--328.
    [12]
    Freeman, L. 1996. Critical charge calculations for a bipolar SRAM array. IBM J. Resear. Devel. 40, 1, 77--89.
    [13]
    Garg, R., Jayakumar, N., Khatri, S., and Choi, G. 2006. A design approach for radiation-hard digital electronics. In Proceedings of the Design Automation Conference (DAC). 773--778.
    [14]
    Hazucha, P. and Svensson, C. 2000. Impact of CMOS technology scaling on atmospheric neutron soft error rate. IEEE Trans. Nucl. Sci. 47, 6, 2586--2594.
    [15]
    Joshi, V., Rao, R. R., Blaauw, D., and Sylvester, D. 2006. Logic SER reduction through flipflop redesign. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 611--616.
    [16]
    Karnik, T., Bloechel, B., Soumyanath, K., De, V., and Borkar, S. 2001. Scaling trends of cosmic ray induced soft errors in static latches beyond 0.18μm. In Proceedings of the Symposium on VLSI Circuits. 61--62.
    [17]
    Karnik, T., Vangal, S., Veeramachaneni, S., Hazucha, P., Erraguntla, V., and Borkar, S. 2002. Selective node engineering for chip-level soft error rate improvement. In Proceedings of the Symposium on VLSI Circuits. 204--205.
    [18]
    Krishnaswamy, S., Markov, I., and Hayes, J. P. 2008a. On the role of timing masking in reliable logic circuit design. In Proceedings of the Design Automation Conference (DAC). 924--929.
    [19]
    Krishnaswamy, S., Viamontes, G., Markov, I., and Hayes, J. 2008b. Probabalistic transfer matrices in symbolic reliability analysis of logic circuits. Trans. Des. Autom. Electron. Syst. 13, 1, 8.
    [20]
    Maestro, J.A., and Revieriego, P. 2008. Study of the effects of MBUs on the reliability of a 150 nm SRAM device. In Proceedings of the Design Automation Conference (DAC). 930--935.
    [21]
    Magen, N., Kolodny, A., Weiser, U., and Shamir, N. 2004. Interconnect power dissipation in a microprocessor. In Proceedings of the International Workshop on System Level Interconnect Prediction (SLIP). 7--13.
    [22]
    Mavis, D. and Eaton, P. 2002. Soft error rate mitigation techniques for modern microcircuits. In Proceedings of the International Reliability Physics Symposium. (IRPS). 216--225.
    [23]
    Mitra, S., Karnik, T., Seifert, N., and Zhang, M. 2005. Logic soft errors in sub-65nm technologies design and CAD challenges. In Proceedings of the Design Automation Conference (DAC). 2--3.
    [24]
    Mitra, S., Seifert, N., Zhang, M., Shi, Q., and Kim, K. 2005. Robust system design with built-in soft-error resilience. IEEE Comput. 38, 2, 43--52.
    [25]
    Mitra, S., Zhang, N., Waqas, S., Seifer, N., Gill, B., and Kim, K. 2006. Combinational logic soft error correction. In Proceedings of the International Test Conference (ITC). 1--9.
    [26]
    Moharam, K. and Touba, N. 2003. Cost-effective approach for reducing the soft error failure rate in logic circuits. In Proceedings of the International Test Conference (ITC). 893--901.
    [27]
    Monnier, T., Roche, F., and Cathebras, G. 1998. Flipflop hardening for space applications. In Proceedings of the International Workshop on Memory Technology. 104--107.
    [28]
    Mukherjee, S., Weaver, C., Emer, J., Reinhardt, S., and Austin, T. 2003. A systematic methodology to compute the architectural vulnerability factors for a high performance microprocessor. In Proceedings of the International Symposium on Microarchitecture (MICRO). 29--40.
    [29]
    Omana, M., Rossi, D., and Metra, C. Latch susceptibility to transient faults and new hardening approach. IEEE Trans. Comput. 56, 9, 1255--1268.
    [30]
    Qian, D., Yu, W., Hui, W., Rong, L., and Huazhong, Y. 2008. Output remapping technique for soft-error rate reduction in critical paths. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 74--77.
    [31]
    Rajaraman, R., Kim, J., Vijaykrishnan, N., Xie, Y., and Irwin, M. 2006. SEAT-LA: A soft error analysis tool for combinational logic. In Proceedings of the International Conference on VLSI Design (VLSID). 499--502.
    [32]
    Ramakrishnan, K., Rajaraman, R., Vijaykrishnan, N., Xie, Y., Irwin, M. J., and Unlu, K. 2008. Hierarchical soft error estimation tool (HSEET). In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 680--683.
    [33]
    Ramanarayanan, R., Degalahal, V., Vijaykrishnan, N., Irwin, M., and Duarte, D. 2003. Analysis of soft error rate in flipflops and scannable latches. In Proceedings of the International ASIC/SOC Conference. 2321--234.
    [34]
    Rao, R. R., Chopra, K., Blaauw, D., and Sylvester, D. 2007. Computing the soft error rate of a combinational circuit using parameterized descriptors. IEEE Trans. Comp.-Aid. Des. 25, 13, 468--479.
    [35]
    Sasaki, Y., Namba, K., and Ito, H. 2008. Circuit and latch capable of masking soft errors with Schmitt trigger. J. Electron. Test. 24, 1, 11--19.
    [36]
    Seifert, N. and Tam, N. 2004. Timing vulnerability factors of sequentials. IEEE Trans. Dev. Mater. Reliabil. 4, 3, 516--522.
    [37]
    Shazli, S. Z., Abdul-Aziz, M., Tahoori, M. B., and Kaeli, D. R. 2008. A field analysis of system-level effects of soft errors occurring in microprocessors used in information systems. In Conference on International Test Conference (ITC). 1--10.
    [38]
    Shivakumar, P. and Keckler, S. 2006. Exploiting slack for low overhead soft error reliability. Soft Errors in Logic—System Effects (SELSE).
    [39]
    Shivakumar, P., Kistler, M., Keckler, S., Burger, D., and Alvis, L. 2002. Modeling the effect of technology trends on the soft error rate of combinational logic. In Proceedings of the International Conference on Dependable Systems and Networks (DSN). 389--398.
    [40]
    Warnock, J., Keaty, J., Petrovick, J., Clabes. J., Kircher, J., Krauter, B., Restle, P., Zoric, B., and Anderson, C. 2002. The circuit and physical design of the POWER4 microprocessor. IBM J. Resea. Develop. 46, 1, 27--52.
    [41]
    Weste, N. and Harris, D. 2005. CMOS VLSI Design: A Circuits and Systems Perspective, Addison Wesley.
    [42]
    Wu, K.C. and Marculescu, D. 2008. Soft error rate reduction using redundancy addition and removal. In Proceedings of the Asian South Pacific Design Automation Conference (ASPDAC). 559--564.
    [43]
    Yang, S. 1991. Logic Synthesis and Optimization Benchmarks User Guide, MCNC, Research Triangle Park, North Carolina.
    [44]
    Zhang, B. and Orshansky, M. 2006. FASER: Fast analysis of soft error susceptibility for cell based designs. In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 755--760.
    [45]
    Zhang, M. and Shanbhag, N. 2004. A soft error rate analysis (SERA) methodology. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 111--118.
    [46]
    Zhang, M. and Shanbhag, N. 2005. An energy-efficient circuit technique for single event transient noise-tolerance. In Proceedings of the International Symposium on Circuits and Systems (ISCAS). 636--639.
    [47]
    Zhang, M. and Shanbhag, N. 2005. A CMOS design style for logic circuit hardening. In Proceedings of the International Reliability Physics Symposium (IRPS). 223--229.
    [48]
    Zhao, C., Bai, X., and Dey, S. 2004. A scalable soft spot analysis methodology for compound noise effects in nano-meter circuits. In Proceedings of the Design Automation Conference (DAC). 894--899.
    [49]
    Zhao, C. and Dey, S. 2006. Improving transient error tolerance using robustness compiler (ROCO). In Proceedings of the International Symposium on Quality Electronic Design (ISQED). 133--138.
    [50]
    Zhou, Q. and Mohanram, K. 2004. Cost effective radiation hardening technique for combinational logic. In Proceedings of the International Conference on Computer-Aided Design (ICCAD). 100--106.
    [51]
    Zhou, A., Choudhury, M., and Mohanram, K. 2008. Tunable transient filters for soft error rate reduction in combinational circuits. In Proceedings of the European Test Symposium (ETS). 179--184.
    [52]
    Zivanov, N. M. and Marculescu, D. 2006. MARS-C: modeling and reduction of soft errors in combinational circuits. In Proceedings of the Design Automation Conference (DAC). 767--772.
    [53]
    Zivanov, N. M. and Marculescu, D. 2006. Soft error rate analysis for sequential circuits. Conference and Exhibition on Design Automation and Test in Europe (DATE). 1436--1441.

    Cited By

    View all
    • (2024)Radiation Hardened by Design-based Voltage Controlled Oscillator for Low Power Phase Locked Loop ApplicationJournal of Electronic Testing10.1007/s10836-024-06113-x40:2(171-184)Online publication date: 17-Apr-2024
    • (2019)Soft Error Reliability Improvement of Digital Circuits by Exploiting a Fast Gate Sizing SchemeIEEE Access10.1109/ACCESS.2019.29025057(66485-66495)Online publication date: 2019
    • (2018)Genetic Algorithm-based Reliability Optimization for High-Level SynthesisJournal of Circuits, Systems and Computers10.1142/S0218126619500397(1950039)Online publication date: 18-May-2018
    • Show More Cited By

    Index Terms

    1. Circuit optimization techniques to mitigate the effects of soft errors in combinational logic

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 15, Issue 1
        December 2009
        188 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/1640457
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 28 December 2009
        Accepted: 01 August 2009
        Revised: 01 March 2009
        Received: 01 October 2008
        Published in TODAES Volume 15, Issue 1

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Soft errors
        2. circuit optimization
        3. combinational logic
        4. sequential circuits

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)13
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 26 Jul 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Radiation Hardened by Design-based Voltage Controlled Oscillator for Low Power Phase Locked Loop ApplicationJournal of Electronic Testing10.1007/s10836-024-06113-x40:2(171-184)Online publication date: 17-Apr-2024
        • (2019)Soft Error Reliability Improvement of Digital Circuits by Exploiting a Fast Gate Sizing SchemeIEEE Access10.1109/ACCESS.2019.29025057(66485-66495)Online publication date: 2019
        • (2018)Genetic Algorithm-based Reliability Optimization for High-Level SynthesisJournal of Circuits, Systems and Computers10.1142/S0218126619500397(1950039)Online publication date: 18-May-2018
        • (2017)Soft Error Rate Reduction of Combinational Circuits Using Gate Sizing in the Presence of Process VariationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.256956225:1(247-260)Online publication date: 1-Jan-2017
        • (2015)Gate Resizing for Soft Error Rate Reduction in Nano-scale Digital Circuits Considering Process VariationsProceedings of the 2015 Euromicro Conference on Digital System Design10.1109/DSD.2015.103(445-452)Online publication date: 26-Aug-2015
        • (2014)Power-Planning-Aware Soft Error Hardening via Selective Voltage AssignmentIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2012.223665822:1(136-145)Online publication date: 1-Jan-2014
        • (2012)Reliability-Aware Instruction Set Customization for ASIPs with Hardened LogicProceedings of the 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications10.1109/RTCSA.2012.28(164-173)Online publication date: 19-Aug-2012
        • (2011)Impact of Synthesis Constraints on Error Propagation Probability of Digital CircuitsProceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems10.1109/DFT.2011.46(103-111)Online publication date: 3-Oct-2011
        • (2011)A fast and efficient technique to apply Selective TMR through optimizationMicroelectronics Reliability10.1016/j.microrel.2011.07.02051:12(2388-2401)Online publication date: Dec-2011

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media