Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

40nm CMOS 0.35V-Optimized Standard Cell Libraries for Ultra-Low Power Applications

Published: 01 June 2011 Publication History
  • Get Citation Alerts
  • Abstract

    Ultra-low voltage is now a well-known solution for energy constrained applications designed using nanometric process technologies. This work is focused on setting up an automated methodology to enable the design of ultra-low voltage digital circuits exclusively using standard EDA tools. To achieve this goal, a 0.35V energy-delay optimized library was developed. This library, fully compliant with standard library design flow and characterization, was verified through the design and fabrication of a BCH decoder circuit, following a standard front-end to back-end flow. At 0.33V, it performs at 600 kHz with a dynamic energy consumption reduced by a factor 14x from nominal 1.1V. Based on this design, experiments, and preliminary silicon results, two additional libraries were developed in order to enhance future ultra-low voltage circuit performance.

    References

    [1]
    Abouzeid, F., Clerc, S., Renaudin, M., and Sicard, G. 2008. Design solutions for ultra-low voltage. In Proceedings of the Edition of the Faible Tension Faible Consommation (FTFC).
    [2]
    Bol, D., Ambroise, R., Flandre, D., and Legat, J.-D. 2008a. Analysis and minimization of practical energy in 45nm subthreshold logic circuits. In Proceedings of the IEEE International Conference on Computer Design (ICCD’08). 294--300.
    [3]
    Bol, D., Ambroise, R., Flandre, D., and Legat, J.-D. 2008b. Impact of technology scaling on digital subthreshold circuits. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI’08). 179--184.
    [4]
    Calhoun, B. and Chandrakasan, A. 2004. Characterizing and modeling minimum energy operation for subthreshold circuits. In Proceedings of the International Symposium on Low-Power Electronics and Design (ISLPED).
    [5]
    Calhoun, B., Wang, A., and Chandrakasan, A. 2005. Modeling and sizing for minimum energy operation in subthreshold circuits. IEEE J. Solid-State Circ. 40, 9, 1778--1786.
    [6]
    Chang, I.-J., Kim, J.-J., and Roy, K. 2006. Robust level converter design for sub-threshold logic. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’06). 14--19.
    [7]
    Chavan, A. and MacDonald, E. 2008. Ultra low voltage level shifters to interface sub and super threshold reconfigurable logic cells. In Proceedings of the IEEE Aerospace Conference. 1--6.
    [8]
    Darnell, M. 1985. Error control coding: Fundamentals and applications. IEE Proc. Comm. Radar Signal Process. F132, 1, 68.
    [9]
    Graniello, B., Chavan, A., Rodriguez, B., and MacDonald, E. 2005. Optimized circuit styles for subthreshold logic. In Proceedings of the International Electro Conference.
    [10]
    Hanson, S., Sylvester, D., and Blaauw, D. 2006a. A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. In Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED’06). 338--341.
    [11]
    Hanson, S., Zhai, B., Blaauw, D., Sylvester, D., Bryant, A., and Wang, X. 2006b. Energy optimality and variability in subthreshold design. In Proceedings of the International Symposium on Low Power Electronics and Design (ISPLED’06). 363--365.
    [12]
    Hanson, S., Seok, M., Sylvester, D., and Blaauw, D. 2007. Nanometer device scaling in subthreshold circuits. In Proceedings of the 44th ACM/IEEE Design Automation Conference (DAC’07). 700--705.
    [13]
    Keane, J., Eom, H., Kim, T., Sapatnekar, S., and Kim, C. 2006. Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing. In Proceedings of the 43rd ACM/IEEE Design Automation Conference. 425--428.
    [14]
    Masgonty, J.-M., Cserveny, S., Arm, C., Pfister, P.-D., and Piguet, C. 2001. Low-power low-voltage standard cell libraries with a limited number of cells. In Proceedings of the Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).
    [15]
    Nanua, M. and Blaauw, D. 2007. Investigating crosstalk in sub-threshold circuits. In Proceedings of the 8th International Symposium on Quality Electronic Design (ISQED’07). 639--646.
    [16]
    Pelgrom, M., Duinmaijer, A. C. J., and Welbers, A. 1989. Matching properties of mos transistors. IEEE J. Solid-State Circ. 24, 5, 1433--1439.
    [17]
    Soeleman, H., Roy, K., and Paul, B. 2001. Robust subthreshold logic for ultra-low power operation. IEEE Trans. VLSI Syst. 9, 1, 90--99.
    [18]
    Sylvester, D. 2006. Ic design strategies at ultra-low voltages. In Proceedings of the IEEE Austin Conference on Integrated Systems and Circuits.
    [19]
    Verma, N., Kwong, J., and Chandrakasan, A. 2008. Nanometer mosfet variation in minimum energy subthreshold circuits. IEEE Trans. Electron. Dev. 55, 1, 163--174.
    [20]
    Wang, A. and Chandrakasan, A. 2005. A 180-mv subthreshold fft processor using a minimum energy design methodology. IEEE J. Solid-State Circ. 40, 1, 310--319.

    Cited By

    View all
    • (2023)Energy-Performance Optimization via P/N Ratio Sizing With Full Diffusion Layout Structure and Standard Cell Height Tuning in Near-Threshold Voltage OperationIEEE Access10.1109/ACCESS.2022.323089711(12536-12546)Online publication date: 2023
    • (2016)Performance evaluation of optimized transistor networks built using independent-gate FinFET2016 IEEE 7th Latin American Symposium on Circuits & Systems (LASCAS)10.1109/LASCAS.2016.7451051(227-230)Online publication date: Feb-2016
    • (2013)Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI2013 Proceedings of the ESSCIRC (ESSCIRC)10.1109/ESSCIRC.2013.6649108(205-208)Online publication date: Sep-2013
    • Show More Cited By

    Index Terms

    1. 40nm CMOS 0.35V-Optimized Standard Cell Libraries for Ultra-Low Power Applications

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 16, Issue 3
        June 2011
        330 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/1970353
        Issue’s Table of Contents
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Journal Family

        Publication History

        Published: 01 June 2011
        Accepted: 01 January 2011
        Revised: 01 November 2010
        Received: 01 January 2010
        Published in TODAES Volume 16, Issue 3

        Permissions

        Request permissions for this article.

        Check for updates

        Author Tags

        1. Bose Choudhury Hocquenghem
        2. CMOS
        3. circuit
        4. design
        5. energy
        6. library
        7. logic
        8. low power
        9. methodology
        10. subthreshold
        11. ultra low voltage

        Qualifiers

        • Research-article
        • Research
        • Refereed

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)6
        • Downloads (Last 6 weeks)2
        Reflects downloads up to 12 Aug 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2023)Energy-Performance Optimization via P/N Ratio Sizing With Full Diffusion Layout Structure and Standard Cell Height Tuning in Near-Threshold Voltage OperationIEEE Access10.1109/ACCESS.2022.323089711(12536-12546)Online publication date: 2023
        • (2016)Performance evaluation of optimized transistor networks built using independent-gate FinFET2016 IEEE 7th Latin American Symposium on Circuits & Systems (LASCAS)10.1109/LASCAS.2016.7451051(227-230)Online publication date: Feb-2016
        • (2013)Scalable 0.35V to 1.2V SRAM bitcell design from 65nm CMOS to 28nm FDSOI2013 Proceedings of the ESSCIRC (ESSCIRC)10.1109/ESSCIRC.2013.6649108(205-208)Online publication date: Sep-2013
        • (2013)A Standard Cell Optimization Method for Near-Threshold Voltage OperationsIntegrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation10.1007/978-3-642-36157-9_4(32-41)Online publication date: 2013
        • (2012)28nm CMOS, energy efficient and variability tolerant, 350mV-to-1.0V, 10MHz/700MHz, 252bits frame error-decoder2012 Proceedings of the ESSCIRC (ESSCIRC)10.1109/ESSCIRC.2012.6341282(153-156)Online publication date: Sep-2012

        View Options

        Get Access

        Login options

        Full Access

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media