Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Hierarchical power management for adaptive tightly-coupled processor arrays

Published: 16 January 2013 Publication History
  • Get Citation Alerts
  • Abstract

    We present a self-adaptive hierarchical power management technique for massively parallel processor architectures, supporting a new resource-aware parallel computing paradigm called invasive computing. Here, an application can dynamically claim, execute, and release the resources in three phases: resource acquisition (invade), program loading/configuration and execution (infect), and release (retreat). Resource invasion is governed by dedicated decentralized hardware controllers, called invasion controllers (ictrls), which are integrated into each processing element (PE). Several invasion strategies for claiming linearly connected or rectangular regions of processing resources are implemented. The key idea is to exploit the decentralized resource management inherent to invasive computing for power savings by enabling applications themselves to control the power for processing resources and invasion controllers using a hierarchical power-gating approach. We propose analytical models for estimating various components of energy consumption for faster design space exploration and compare them with the results obtained from a cycle-accurate C++ simulator of the processor array. In order to find optimal design trade-offs, various parameters like (a) energy consumption, (b) hardware cost, and (c) timing overheads are compared for different sizes of power domains. Experimental results show significant energy savings (up to 73%) for selected characteristical algorithms and different resource utilizations. In addition, we demonstrate the accuracy of our proposed analytical model. Here, estimation errors less than 3.6% can be reported.

    References

    [1]
    Baumgarte, V., Ehlers, G., May, F., Nückel, A., Vorbach, M., and Weinhardt, M. 2003. PACT XPP--a self-reconfigurable data processing architecture. J. Supercomput. 26, 167--184.
    [2]
    Bouwens, F., Berekovic, M., De Sutter, B., and Gaydadjiev, G. 2008. Architecture enhancements for the ADRES coarse-grained reconfigurable array. In Proceedings of the 3rd International Conference on High Performance Embedded Architectures and Compilers (HiPEAC). 66--81.
    [3]
    Butts, M. 2007. Synchronization through communication in a massively parallel processor array. IEEE Micro 27, 5, 32--40.
    [4]
    Duller, A., Panesar, G., and Towner, D. 2003. Parallel processing—the picoChip way! In Proceedings of Communicating Process Architectures (CPA). 125--138.
    [5]
    Hailin, J., Marek-Sadowska, M., and Nassif, S. 2005. Benefits and costs of power-gating technique. In Proceedings of the IEEE International Conference on Computer Design: VLSI in Computers and Processors (ICCD). 559--566.
    [6]
    Hannig, F., Roloff, S., Snelting, G., Teich, J., and Zwinkau, A. 2011. Resource-aware programming and simulation of MPSoC architectures through extension of X10. In Proceedings of the 14th International Workshop on Software and Compilers for Embedded Systems (SCOPES). 48--55.
    [7]
    Homayoun, H., Golshan, S., Bozorgzadeh, E., Veidenbaum, A., and Kurdahi, F. J. 2011. On leakage power optimization in clock tree networks for asics and general-purpose processors. Sustain. Comput. Informatics Sys. 1, 1, 75--87.
    [8]
    Howard, J., Dighe, S., Hoskote, Y., Vangal, S., Finan, D., Ruhl, G., Jenkins, D., Wilson, H., Borkar, N., Schrom, G., Pailet, F., Jain, S., Jacob, T., Yada, S., Marella, S., Salihundam, P., Erraguntla, V., Konow, M., Riepen, M., Droege, G., Lindemann, J., Gries, M., Apel, T., Henriss, K., Lund-Larsen, T., Steibl, S., Borkar, S., De, V., Van Der Wijngaart, R., and Mattson, T. 2010. A 48-core IA-32 message-passing processor with DVFS in 45nm CMOS. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 108--109.
    [9]
    Kalla, R., Sinharoy, B., Starke, W. J., and Floyd, M. 2010. Power7: IBM's next-generation server processor. IEEE Micro, 30, 2, 7--15.
    [10]
    Kao, J., Narendra, S., and Chandrakasan, A. 2002. Subthreshold leakage modeling and reduction techniques. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD). 141--148.
    [11]
    Kissler, D., Gran, D., Salcic, Z., Hannig, F., and Teich, J. 2011. Scalable many-domain power gating in coarse-grained reconfigurable processor arrays. Embed. Syst. Lett. 3, 2, 58--61.
    [12]
    Kissler, D., Hannig, F., Kupriyanov, A., and Teich, J. 2006. A highly parameterizable parallel processor array architecture. In Proceedings of the IEEE International Conference on Field Programmable Technology (FPT). 105--112.
    [13]
    Kissler, D., Strawetz, A., Hannig, F., and Teich, J. 2008. Power-efficient reconfiguration control in coarse-grained dynamically reconfigurable architectures. In Proceedings of the 18th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS). Lecture Notes in Computer Science, vol. 5349, Springer, Berlin, 307--317.
    [14]
    Kupriyanov, A., Kissler, D., Hannig, F., and Teich, J. 2007. Efficient event-driven simulation of parallel processor architectures. In Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems (SCOPES). 71--80.
    [15]
    Lari, V., Narovlyanskyy, A., Hannig, F., and Teich, J. 2011. Decentralized dynamic resource management support for massively parallel processor arrays. In Proceedings of the 22nd IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP). 87--94.
    [16]
    Motomura, M. 2002. A dynamically reconfigurable processor architecture. Microprocessor Forum.
    [17]
    Palatin, P., Lhuillier, Y., and Temam, O. 2006. CAPSULE: Hardware-assisted parallel execution of component-based programs. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 247--258.
    [18]
    Saito, Y., Shirai, T., Nakamura, T., Nishimura, T., Hasegawa, Y., Tsutsumi, S., Kashima, T., Nakata, M., Takeda, S., Usami, K., and Amano, H. 2008. Leakage power reduction for coarse grained dynamically reconfigurable processor arrays with fine grained power gating technique. In Proceedings of the International Conference on ICECE Technology (FPT). 329--332.
    [19]
    Sankaralingam, K., Nagarajan, R., McDonald, R., Desikan, R., Drolia, S., Govindan, M., Gratz, P., Gulati, D., Hanson, H., Kim, C., Liu, H., Ranganathan, N., Sethumadhavan, S., Sharif, S., Shivakumar, P., Keckler, S., and Burger, D. 2006. Distributed microarchitectural protocols in the TRIPS prototype processor. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 480--491.
    [20]
    Teich, J. 2008. Invasive Algorithms and Architectures. it - Inform. Technol. 50, 5, 300--310.
    [21]
    Teich, J., Henkel, J., Herkersdorf, A., Schmitt-Landsiedel, D., Schröder-Preikschat, W., and Snelting, G. 2011. Multiprocessor System-on-Chip: Hardware Design and Tool Integration. Springer, New York, NY, Chapter 11, Invasive Computing: An Overview, 241--268.
    [22]
    Wu, Q., Pedram, M., and Wu, X. 2000. Clock-gating and its application to low power design of sequential circuits. IEEE Trans. Circuits Syst. I: Fundamental Theory and Applications 47, 3, 415--420.

    Cited By

    View all
    • (2019)Adaptive Energy Management for Dynamically Reconfigurable ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2013.228226533:1(50-63)Online publication date: 4-Jan-2019
    • (2019)FundamentalsModeling and Simulation of Invasive Applications and Architectures10.1007/978-981-13-8387-8_2(9-40)Online publication date: 31-May-2019
    • (2019)*‐Predictable MPSoC execution of real‐time control applications using invasive computingConcurrency and Computation: Practice and Experience10.1002/cpe.514933:14Online publication date: 3-Feb-2019
    • Show More Cited By

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 18, Issue 1
    Special section on adaptive power management for energy and temperature-aware computing systems
    January 2013
    319 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2390191
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 16 January 2013
    Accepted: 01 September 2012
    Revised: 01 July 2012
    Received: 01 March 2012
    Published in TODAES Volume 18, Issue 1

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. Adaptive power optimization
    2. parallel computing
    3. resource awareness
    4. runtime resource management
    5. timing overhead minimization

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 10 Aug 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Adaptive Energy Management for Dynamically Reconfigurable ProcessorsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2013.228226533:1(50-63)Online publication date: 4-Jan-2019
    • (2019)FundamentalsModeling and Simulation of Invasive Applications and Architectures10.1007/978-981-13-8387-8_2(9-40)Online publication date: 31-May-2019
    • (2019)*‐Predictable MPSoC execution of real‐time control applications using invasive computingConcurrency and Computation: Practice and Experience10.1002/cpe.514933:14Online publication date: 3-Feb-2019
    • (2018)Invasive Computing for Predictability of Multiple Non-functional Properties: A Cyber-Physical System Case Study2018 IEEE 29th International Conference on Application-specific Systems, Architectures and Processors (ASAP)10.1109/ASAP.2018.8445109(1-9)Online publication date: Jul-2018
    • (2018)Symbolic Mapping of Loop Programs onto Processor ArraysJournal of Signal Processing Systems10.1007/s11265-014-0905-077:1-2(31-59)Online publication date: 27-Dec-2018
    • (2017)A reconfigurable memory architecture for system integration of coarse-grained reconfigurable arrays2017 International Conference on ReConFigurable Computing and FPGAs (ReConFig)10.1109/RECONFIG.2017.8279768(1-8)Online publication date: Dec-2017
    • (2017)Orthogonal Instruction Processing: An Alternative to Lightweight VLIW Processors2017 IEEE 11th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC)10.1109/MCSoC.2017.17(5-12)Online publication date: Sep-2017
    • (2017)Invasive ComputingInvasive Computing for Mapping Parallel Programs to Many-Core Architectures10.1007/978-981-10-7356-4_2(9-43)Online publication date: 30-Dec-2017
    • (2016)eCope: Workload-Aware Elastic Customization for Power Efficiency of High-End ServersIEEE Transactions on Cloud Computing10.1109/TCC.2015.24648024:2(237-249)Online publication date: 1-Apr-2016
    • (2016)Conclusions and Future WorkInvasive Tightly Coupled Processor Arrays10.1007/978-981-10-1058-3_5(145-149)Online publication date: 9-Jul-2016
    • Show More Cited By

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media