Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Yield Improvement for 3D Wafer-to-Wafer Stacked ICs Using Wafer Matching

Published: 02 March 2015 Publication History

Abstract

Three-Dimensional Stacked IC (3D-SIC) using Through-Silicion Vias (TSVs) is an emerging technology that provides heterogeneous integration, higher performance, and lower power consumption compared to traditional ICs. Stacking 3D-SICs using Wafer-to-Wafer (W2W) has several advantages such as high stacking throughput, high TSV density, and the ability to handle thin wafers and small dies. However, it suffers from low-compound yield as the stacking of good dies on bad dies and vice versa cannot be prevented. This article investigates wafer matching as a means for yield improvement. It first defines a complete wafer matching framework consisting of different scenarios, each a combination of a matching process (defines the order of wafer selection), a matching criterion (defines whether good or bad dies are matched), wafer rotation (defines either wafers are rotated or not), and a repository type. The repository type specifies whether either the repository is filled immediately after each wafer selection (i.e., running repository) or after all wafers are matched (i.e., static repository). A mapping of prior work on the framework shows that existing research has mainly explored scenarios based on static repositories. Therefore, the article analyzes scenarios based on running repositories. Simulation results show that scenarios based on running repositories improve the compound yield with up to 13.4% relative to random W2W stacking; the improvement strongly depends on the number of stacked dies, die yield, repository size, as well as on the used matching process. Moreover, the results reveal that scenarios based on running repositories outperform those of static repositories in terms of yield improvement at significant runtime reduction (three orders of magnitude) and lower memory complexity (from exponential to linear in terms of stack size).

References

[1]
V. D. Agrawal. 2000. Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits. Springer. http://books.google.nl/books?id=CgnLg99GumMC.
[2]
J. Baliga. 2004. Chips go vertical {3d ic interconnection}. IEEE Spectrum 41, 3, 43--47.
[3]
J. A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S. J. Souri, K. Banerjee, K. C. Saraswat, A. Rahman, R. Reif, and J. D. Meindl. 2001. Interconnect limits on gigascale integration (GSI) in the 21st century. Proc. IEEE 89, 3, 305--324.
[4]
W. R. Davis, J. Wilson, S. Mick, J. Xu, H. Hua, C. Mineo, A. M. Sule, M. Steer, and P. D. Franzon. 2005. Demystifying 3D ICS: The pros and cons of going vertical. IEEE Des. Test Comput. 22, 6, 498--510.
[5]
D. K. de Vries. 2005. Investigation of gross die per wafer formulas. IEEE Trans. Semiconductor Manufact. 18, 1, 136--139.
[6]
C. Ferri, S. Reda, and R. I. Bahar. 2008. Parametric yield management for 3D ICS: Models and strategies for improvement. J. Emerg. Technol. Comput. Syst. 4, 4.
[7]
P. Garrou, C. Bower, and P. Ramm. 2008. Handbook of 3D Integration: Volume 1 - Technology and Applications of 3D Integrated Circuits. Wiley.
[8]
F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir. 2006. Design and management of 3D chip multiprocessors using network-in-memory. In Proceedings of the 33rd International Symposium on Computer Architecture (ISCA'06). 130--141.
[9]
G. H. Loh, Y. Xie, and B. Black. 2007. Processor design in 3D die-stacking technologies. IEEE Micro 27, 3, 31--48.
[10]
R. S. Patti. 2006. Three-dimensional integrated circuits and the future of system-on-chip designs. Proc. IEEE 94, 6, 1214--1224.
[11]
K. Puttaswamy and G. H. Loh. 2009. 3D-integrated sram components for high-performance microprocessors. IEEE Trans. Comput. 58, 10, 1369--1381.
[12]
S. Reda, G. Smith, and L. Smith. 2009. Maximizing the functional yield of wafer-to-wafer 3D integration. IEEE Trans. VLSI Integr. Syst. 17, 9, 1357--1362.
[13]
E. Singh. 2011. Exploiting rotational symmetries for improved stacked yields in W2W 3D-SICs. In Proceedings of the 29th IEEE VLSI Test Symposium (VTS'11). 32--37.
[14]
E. Singh. 2012. Impact of radial defect clustering on 3D stacked IC yield from wafer to wafer stacking. In Proceedings of the IEEE International Test Conference (ITC'12). 1--7.
[15]
G. Smith, L. Smith, S. Hosali, and S. Arkalgud. 2007. Yield considerations in the choice of 3D technology. In Proceedings of the International Symposium on Semiconductor Manufacturing (ISSM'07). 1--3.
[16]
M. Taouil, S. Hamdioui, J. Verbree, and E. J. Marinissen. 2010. On maximizing the compound yield for 3D wafer-to-wafer stacked ICS. In Proceedings of the IEEE International Test Conference (ITC'10). 1--10.
[17]
Y.-F. Tsai, F. Wang, Y. Xie, N. Vijaykrishnan, and M. J. Irwin. 2008. Design space exploration for 3D cache. IEEE Trans. VLSI Syst. 16, 4, 444--455.
[18]
J. Verbree, E. J. Marinissen, P. Roussel, and D. Velenis. 2010. On the cost-effectiveness of matching repositories of pre-tested wafers for wafer-to-wafer 3D chip stacking. In Proceedings of the 15th IEEE European Test Symposium (ETS'10). 36--41.

Cited By

View all
  • (2022)Yield-aware joint die packing, die matching and static thread mapping for hard real-time 3D embedded CMPsMicroprocessors & Microsystems10.1016/j.micpro.2022.10454392:COnline publication date: 1-Jul-2022
  • (2020)Logic Diagnosis with Hybrid Fail DataACM Transactions on Design Automation of Electronic Systems10.1145/343392926:3(1-13)Online publication date: 17-Dec-2020
  • (2019)Test Scores for Improving the Accuracy of Logic Diagnosis for Multiple DefectsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.290083627:7(1720-1724)Online publication date: Jul-2019
  • Show More Cited By

Index Terms

  1. Yield Improvement for 3D Wafer-to-Wafer Stacked ICs Using Wafer Matching

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 20, Issue 2
    February 2015
    404 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/2742143
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 02 March 2015
    Accepted: 01 October 2014
    Revised: 01 October 2014
    Received: 01 June 2014
    Published in TODAES Volume 20, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. 3D integration
    2. matching criterion
    3. wafer matching

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)11
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 13 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Yield-aware joint die packing, die matching and static thread mapping for hard real-time 3D embedded CMPsMicroprocessors & Microsystems10.1016/j.micpro.2022.10454392:COnline publication date: 1-Jul-2022
    • (2020)Logic Diagnosis with Hybrid Fail DataACM Transactions on Design Automation of Electronic Systems10.1145/343392926:3(1-13)Online publication date: 17-Dec-2020
    • (2019)Test Scores for Improving the Accuracy of Logic Diagnosis for Multiple DefectsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2019.290083627:7(1720-1724)Online publication date: Jul-2019
    • (2017)Test Modification for Reduced Volumes of Fail DataACM Transactions on Design Automation of Electronic Systems10.1145/306592522:4(1-17)Online publication date: 13-Jun-2017
    • (2017)Generation of Transparent-Scan Sequences for Diagnosis of Scan Chain FaultsACM Transactions on Design Automation of Electronic Systems10.1145/300720722:3(1-17)Online publication date: 23-May-2017
    • (2017)On the Restore Time Variations of Future DRAM MemoryACM Transactions on Design Automation of Electronic Systems10.1145/296760922:2(1-24)Online publication date: 9-Feb-2017

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media