Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

A Novel Resistive Memory-based Process-in-memory Architecture for Efficient Logic and Add Operations

Published: 21 March 2019 Publication History
  • Get Citation Alerts
  • Abstract

    The coming era of big data revives the Processing-in-memory (PIM) architecture to relieve the memory wall problem that embarrasses the modern computing system. However, most existing PIM designs just put computing units closer to memory, rather than a complete integration of them due to their incompatibility in CMOS manufacturing. Fortunately, the emerging Resistive-RAM (ReRAM) offers new hope to this dilemma owing to its inherent memory and computing capability using the same device. In this article, we propose a ReRAM memory structure with efficient PIM capability of both logic and add operations. It first leverages non-linearity to suppress sneak current and thus sustains high memory density. Using a differential bit cell, it also enables efficient processing of arbitrary logic functions using the same memory cells with non-destructive operations. Then, a novel PIM adder is proposed, which customizes a sneak current path as the carry-chain for fast carry propagation and improves adder performance significantly. In the experiment, the proposed PIM demonstrates higher efficiency in both computing area and performance for logic and addition, which greatly increases the ReRAM PIM applicability for future computable architectures.

    References

    [1]
    Junwhan Ahn, Sungjoo Yoo, Onur Mutlu, and Kiyoung Choi. 2015. PIM-enabled instructions: A low-overhead, locality-aware processing-in-memory architecture. ACM SIGARCH Comput. Architect. News 43, 3 (2015), 336--348.
    [2]
    Julien Borghetti, Gregory S. Snider, Philip J. Kuekes, J. Joshua Yang, Duncan R. Stewart, and R. Stanley Williams. 2010. Memristive switches enable stateful logic operations via material implication. Nature 464, 7290 (2010), 873--876.
    [3]
    Amirali Boroumand, Saugata Ghose, Brandon Lucia, and Kevin Hsieh. 2017. LazyPIM: An efficient cache coherence mechanism for processing-in-memory. IEEE Comput. Architect. Lett. 16, 1 (2017), 46--50.
    [4]
    M. F. Chang, S. S. Sheu, K. F. Lin, C. W. Wu, C. C. Kuo, P. F. Chiu, Y. S. Yang, Y. S. Chen, H. Y. Lee, C. H. Lien, F. T. Chen, K. L. Su, T. K. Ku, M. J. Kao, and M. J. Tsai. 2013. A high-speed 7.2ns read-write random access 4Mb embedded resistive RAM (ReRAM) macro using process-variation-tolerant current-mode read schemes. IEEE J. Solid-State Circ. 48, 3 (Mar. 2013), 878--891.
    [5]
    Meng-Fan Chang, Jui-Jen Wu, Tun-Fei Chien, Yen-Chen Liu, Ting-Chin Yang, Wen-Chao Shen, Ya-Chin King, Chorng-Jung Lin, Ku-Feng Lin, Yu-Der Chih, et al. 2014. 19.4 embedded 1mb ReRAM in 28nm cmos with 0.27-to-1v read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme. In Proceedings of the IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). IEEE, 332--333.
    [6]
    An Chen, Sameer Haddad, Yi Ching Wu, Tzu Ning Fang, Zhida Lan, Steven Avanzino, Suzette Pangrle, Matthew Buynoski, Manuj Rathor, and Wei Cai. 2005. Non-volatile resistive switching for advanced memory applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’05), Technical Digest. 746--749.
    [7]
    CL Philip Chen and Chun-Yang Zhang. 2014. Data-intensive applications, challenges, techniques and technologies: A survey on big data. Info. Sci. 275 (2014), 314--347.
    [8]
    Yi Chung Chen, Hai Li, Wei Zhang, and Robinson E. Pino. 2011. 3D-HIM:A 3D high-density interleaved memory for bipolar RRAM design. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures. 59--64.
    [9]
    Ping Chi, Shuangchen Li, Cong Xu, Tao Zhang, Jishen Zhao, Yongpan Liu, Yu Wang, and Yuan Xie. 2016. PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. In Proceedings of the International Symposium on Computer Architecture. 27--39.
    [10]
    Chun-Tse Chou, Boris Hudec, Chung-Wei Hsu, Wei-Li Lai, Chih-Cheng Chang, and Tuo-Hung Hou. 2015. Crossbar array of selector-less TaOx/TiO 2 bilayer RRAM. Microelectron. Reliabil. 55, 11 (2015), 2220--2223.
    [11]
    R. Fackenthal, M. Kitagawa, W. Otsuka, K. Prall, D. Mills, K. Tsutsui, J. Javanifard, K. Tedrow, T. Tsushima, Y. Shibahara, and G. Hush. 2014. 19.7 A 16Gb ReRAM with 200MB/s write and 1GB/s read in 27nm technology. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). 338--339.
    [12]
    Pierre Emmanuel Gaillardon, Luca Amaris, Anne Siemon, and Eike Linn. 2016. The programmable logic-in-memory (PLiM) computer. In Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. 427--432.
    [13]
    Mingyu Gao, Grant Ayers, and Christos Kozyrakis. 2015. Practical near-data processing for in-memory analytics frameworks. In International Conference on Parallel Architecture and Compilation (PACT’15). 113--124.
    [14]
    Min Che Hsieh, Yu Cheng Liao, Yung Wen Chin, and Chen Hsin Lien. 2013. Ultra high density 3D via RRAM in pure 28nm CMOS process. In Proceedings of the Electron Devices Meeting. 10.3.1--10.3.4.
    [15]
    Miao Hu, John Paul Strachan, Zhiyong Li, Emmanuelle M. Grafals, Noraica Davila, Catherine Graves, Sity Lam, Ning Ge, Jianhua Joshua Yang, and R. Stanley Williams. 2016. Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication. In 53nd ACM/EDAC/IEEE Design Automation Conference (DAC'16). 1--6.
    [16]
    Jiun-Jia Huang, Yi-Ming Tseng, Wun-Cheng Luo, Chung-Wei Hsu, and Tuo-Hung Hou. 2011. One selector-one resistor (1S1R) crossbar array for high-density flexible memory applications. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’11). IEEE, 31--7.
    [17]
    Tech.Rep Hybrid Memory Cube Consortium. {n.d.}. Hybrid memory cube specification 2.1. http://nvmdb.ucsd.edu/.
    [18]
    Sung Hyun Jo, T Kumar, S Narayanan, and W. D Lu. 2014. 3D-stackable crossbar resistive memory based on field assisted superlinear threshold (FAST) selector. In Proceedings of the Electron Devices Meeting. 6.7.1--6.7.4.
    [19]
    Sung Hyun Jo, Tanmay Kumar, Sundar Narayanan, Wei D. Lu, and Hagop Nazarian. 2014. 3D-stackable crossbar resistive memory based on field assisted superlinear threshold (FAST) selector. In Proceedings of the IEEE International Electron Devices Meeting (IEDM’14). IEEE, 6--7.
    [20]
    William H. Kautz. 1969. Cellular logic-in-memory arrays. IEEE Trans. Comput. 100, 8 (1969), 719--727.
    [21]
    A. Kawahara, R. Azuma, Y. Ikeda, and K. Kawai. 2012. An 8Mb multi-layered cross-point ReRAM macro with 443MB/s write throughput. In Proceedings of the Solid-State Circuits Conference Digest of Technical Papers. 432--434.
    [22]
    Doris Keitel-Schulz and Norbert Wehn. 2001. Embedded DRAM development: Technology, physical design, and application issues. IEEE Design Test Comput. 18, 3 (2001), 7--15.
    [23]
    Peter M. Kogge, Steven C. Bass, Jay B. Brockman, Danny Z. Chen, and Edwin Sha. 1996. Pursuing a petaflop: Point designs for 100 TF computers using PIM technologies. In Proceedings of the 6th Symposium on the Frontiers of Massively Parallel Computing (Frontiers’96). IEEE, 88--97.
    [24]
    Shahar Kvatinsky, Dmitry Belousov, Slavik Liman, Guy Satat, Nimrod Wald, Eby G. Friedman, Avinoam Kolodny, and Uri C. Weiser. 2014. MAGICąłMemristor-aided logic. IEEE Trans. Circ. Syst. II: Express Briefs 61, 11 (2014), 895--899.
    [25]
    Shahar Kvatinsky, Guy Satat, Nimrod Wald, Eby G. Friedman, Avinoam Kolodny, and Uri C. Weiser. 2014. Memristor-based material implication (IMPLY) logic: Design principles and methodologies. IEEE Trans. Very Large Scale Integr. Syst. 22, 10 (2014), 2054--2066.
    [26]
    Shahar Kvatinsky, Nimrod Wald, Guy Satat, Avinoam Kolodny, Uri C. Weiser, and Eby G. Friedman. 2012. MRL memristor ratioed logic. In Proceedings of the13th International Workshop on Cellular Nanoscale Networks and Their Applications (CNNA’12). IEEE, 1--6.
    [27]
    H. Y. Lee, Y. S. Chen, P. S. Chen, P. Y. Gu, Y. Y. Hsu, S. M. Wang, W. H. Liu, C. H. Tsai, S. S. Sheu, P. C. Chiang, W. P. Lin, C. H. Lin, W. S. Chen, F. T. Chen, C. H. Lien, and M. J. Tsai. 2010. Evidence and solution of over-RESET problem for HfOX-based resistive memory with sub-ns switching speed and high endurance. In Proceedings of the International Electron Devices Meeting. 19.7.1--19.7.4.
    [28]
    Wootae Lee, Jubong Park, Jungho Shin, Jiyong Woo, Seonghyun Kim, Godeuni Choi, Seungjae Jung, Sangsu Park, Daeseok Lee, Euijun Cha, et al. 2012. Varistor-type bidirectional switch (J MAX> 10 7 A/cm 2, selectivity 10 4) for 3D bipolar resistive memory arrays. In Proceedings of the Symposium on VLSI Technology (VLSIT’12). IEEE, 37--38.
    [29]
    Eero Lehtonen and Mika Laiho. 2009. Stateful implication logic with memristors. In Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures. IEEE Computer Society, 33--36.
    [30]
    Can Li, Miao Hu, Yunning Li, Hao Jiang, Ning Ge, Eric Montgomery, Jiaming Zhang, Wenhao Song, Noraica Dávila, and Catherine E. Graves. 2017. Analogue signal and image processing with large memristor crossbars. (2017).
    [31]
    H. Li, B. Gao, Z. Chen, Y. Zhao, P. Huang, H. Ye, L. Liu, X. Liu, and J. Kang. 2015. A learnable parallel processing architecture towards unity of memory and computing. Sci. Rep. 5 (2015), 13330.
    [32]
    Kai Shin Li, Chia Hua Ho, Ming Taou Lee, and Min Cheng Chen. 2014. Utilizing sub-5 nm sidewall electrode technology for atomic-scale resistive memory fabrication. In Proceedings of the IEEE Symposium on VLSI Technology. 1--2.
    [33]
    Shuangchen Li, Cong Xu, Qiaosha Zou, Jishen Zhao, Yu Lu, and Yuan Xie. 2016. Pinatubo: A processing-in-memory architecture for bulk bitwise operations in emerging non-volatile memories. In Proceedings of the Design Automation Conference. 1--6.
    [34]
    Kuan Liang Lin, Tuo Hung Hou, Jiann Shieh, Jun Hung Lin, Cheng Tung Chou, and Yao Jen Lee. 2011. Electrode dependence of filament formation in HfO2 resistive-switching memory. J. Appl. Phys. 109, 8 (2011), 587.
    [35]
    E. Linn, R. Rosezin, S. Tappertzhofen, U. Böttger, and R. Waser. 2012. Beyond von Neumann logic operations in passive crossbar arrays alongside memory operations. Nanotechnology 23, 30 (2012), 305205.
    [36]
    Xiaoxiao Liu, Mengjie Mao, Hai Li, Yiran Chen, Hao Jiang, J. Joshua Yang, Qing Wu, and Mark Barnell. 2015. A heterogeneous computing system with memristor-based neuromorphic accelerators. In Proceedings of the High PERFORMANCE Extreme Computing Conference. 1--6.
    [37]
    Harika Manem, Garrett S Rose, Xiaoli He, and Wei Wang. 2010. Design considerations for variation tolerant multilevel CMOS/Nano memristor memory. In Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI. ACM, 287--292.
    [38]
    Ravi Nair, Samuel F. Antao, Carlo Bertolli, Pradip Bose, Jose R. Brunheroto, Tong Chen, C.-Y. Cher, Carlos H. A. Costa, Jun Doi, Constantinos Evangelinos et al. 2015. Active memory cube: A processing-in-memory architecture for exascale systems. IBM J. Res. Dev. 59, 2/3 (2015), 17--1.
    [39]
    J. Thomas Pawlowski. 2011. Hybrid memory cube: Breakthrough DRAM performance with a fundamentally re-architected DRAM subsystem. In Proceedings of the IEEE Hot Chips Symposium, Vol. 23.
    [40]
    Mirko Prezioso, Farnood Merrikh-Bayat, B. D. Hoskins, G. C. Adam, Konstantin K. Likharev, and Dmitri B. Strukov. 2015. Training and operation of an integrated neuromorphic network based on metal-oxide memristors. Nature 521, 7550 (2015), 61--64.
    [41]
    Seth H. Pugsley, Jeffrey Jestes, Huihui Zhang, Rajeev Balasubramonian, Vijayalakshmi Srinivasan, Alper Buyuktosunoglu, Al Davis, and Feifei Li. 2014. NDC: Analyzing the impact of 3D-stacked memory+ logic devices on MapReduce workloads. In Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’14). IEEE, 190--200.
    [42]
    D. Schinkel, E. Mensink, E. Klumperink, and E. Van Tuijl. 2007. A double-tail latch-type voltage sense amplifier with 18ps Setup+Hold Time. In Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC’07). 314--605.
    [43]
    Ali Shafiee, Anirban Nag, Naveen Muralimanohar, Rajeev Balasubramonian, John Paul Strachan, Miao Hu, R. Stanley Williams, and Vivek Srikumar. 2016. ISAAC: A convolutional neural network accelerator with in-situ analog arithmetic in crossbars. In Proceedings of the International Symposium on Computer Architecture. 14--26.
    [44]
    Jungho Shin, Insung Kim, Kuyyadi P. Biju, Minseok Jo, Jubong Park, Joonmyoung Lee, Seungjae Jung, Wootae Lee, Seonghyun Kim, Sangsu Park et al. 2011. TiO 2-based metal-insulator-metal selection device for bipolar resistive random access memory cross-point application. J. Appl. Phys. 109, 3 (2011), 033712.
    [45]
    Saeideh Shirinzadeh, Mathias Soeken, Pierre Emmanuel Gaillardon, and Rolf Drechsler. 2017. Logic synthesis for RRAM-based in-memory computing. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. PP, 99 (2017), 1--1.
    [46]
    Anne Siemon, Stephan Menzel, Rainer Waser, and Eike Linn. 2015. A complementary resistive switch-based crossbar array adder. IEEE J. Emerg. Select. Topics Circ. Syst. 5, 1 (2015), 64--74.
    [47]
    Joon Sohn, Seunghyun Lee, Zizhen Jiang, H. Y. Chen, and H. S. Philip Wong. 2015. Atomically thin graphene plane electrode for 3D RRAM. Electron Devices Meeting IEDM Tech. Digest Int. 2015 (2015), 5.3.1--5.3.4.
    [48]
    V. S. S. Srinivasan, S. Chopra, P. Karkare, P. Bafna, S. Lashkare, P. Kumbhare, Y. Kim, S. Srinivasan, S. Kuppurao, S. Lodha et al. 2012. Punchthrough-diode-based bipolar RRAM selector by Si epitaxy. IEEE Electron Dev. Lett. 33, 10 (2012), 1396--1398.
    [49]
    Kosuke Suzuki and Steven Swanson. 2015. The Non-Volatile Memory Technology Database (NVMDB). Technical Report CS2015-1011. Department of Computer Science 8 Engineering, University of California, San Diego. Retrieved from http://nvmdb.ucsd.edu.
    [50]
    Nishil Talati, Saransh Gupta, Pravin Mane, and Shahar Kvatinsky. 2016. Logic design within memristive memories using memristor-aided loGIC (MAGIC). IEEE Trans. Nanotechnol. 15, 4 (2016), 635--650.
    [51]
    Arthur W. Burks, Herman H. Goldstine, and John Von Neumann. 1982. Preliminary discussion of the logical design of an electronic computing instrument. In The Origins of Digital Computers. Springer, 399--413.
    [52]
    H-S Philip Wong, Heng-Yuan Lee, Shimeng Yu, Yu-Sheng Chen, Yi Wu, Pang-Shiu Chen, Byoungil Lee, Frederick T Chen, and Ming-Jinn Tsai. 2012. Metal--oxide RRAM. Proc. IEEE 100, 6 (2012), 1951--1970.
    [53]
    Lei Xie, Hoang Anh Du Nguyen, Mottaqiallah Taouil, Said Hamdioui, and Koen Bertels. 2015. Fast boolean logic mapped on memristor crossbar. In Proceedings of the 33rd IEEE International Conference on Computer Design (ICCD’15). IEEE, 335--342.
    [54]
    Cong Xu, Dimin Niu, Naveen Muralimanohar, Rajeev Balasubramonian, Tao Zhang, Shimeng Yu, and Yuan Xie. 2015. Overcoming the challenges of crossbar resistive memory architectures. In Proceedings of the IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). IEEE, 476--488.
    [55]
    J. Joshua Yang, M. X. Zhang, Matthew D. Pickett, Feng Miao, J. Paul Strachan, Wen-Di Li, Wei Yi, Douglas A. A. Ohlberg, B. Joon Choi, Wei Wu et al. 2012. Engineering nonlinearity into memristors for passive crossbar applications. Appl. Phys. Lett 100, 11 (2012), 113501.
    [56]
    Chih Wei Stanley Yeh and S. Simon Wong. 2015. Compact one-transistor-N-RRAM array architecture for advanced CMOS technology. IEEE J. Solid-State Circ. 50, 5 (2015), 1299--1309.
    [57]
    Leibin Ni, Hantao Huang, Zichuan Liu, Rajiv V. Joshi, and Hao Yu. 2017. Distributed in-memory computing on binary RRAM crossbar. ACM Journal on Emerging Technologies in Computing Systems (JETC) 13, 3 (2017), 36.
    [58]
    Yue Zha and Jing Li. 2016. Reconfigurable in-memory computing with resistive memory crossbar. In Proceedings of the International Conference on Computer-Aided Design. 120.
    [59]
    Jiantao Zhou, Kuk Hwan Kim, and Wei Lu. 2014. Crossbar RRAM arrays: Selector device requirements during read operation. IEEE Trans. Electron. Dev. 61, 5 (2014), 1369--1376.

    Cited By

    View all
    • (2022)MagCiM: A Flexible and Non-Volatile Computing-in-Memory Processor for Energy-Efficient Logic ComputationIEEE Access10.1109/ACCESS.2022.315996710(35445-35459)Online publication date: 2022

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Transactions on Design Automation of Electronic Systems
    ACM Transactions on Design Automation of Electronic Systems  Volume 24, Issue 2
    March 2019
    287 pages
    ISSN:1084-4309
    EISSN:1557-7309
    DOI:10.1145/3306156
    • Editor:
    • Naehyuck Chang
    Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Journal Family

    Publication History

    Published: 21 March 2019
    Accepted: 01 December 2018
    Revised: 01 September 2018
    Received: 01 April 2018
    Published in TODAES Volume 24, Issue 2

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. PIM
    2. ReRAM
    3. carry propagation
    4. sneak current
    5. sneak path based adder

    Qualifiers

    • Research-article
    • Research
    • Refereed

    Funding Sources

    • Natural Science Foundation of China

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)30
    • Downloads (Last 6 weeks)7
    Reflects downloads up to 26 Jul 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)MagCiM: A Flexible and Non-Volatile Computing-in-Memory Processor for Energy-Efficient Logic ComputationIEEE Access10.1109/ACCESS.2022.315996710(35445-35459)Online publication date: 2022

    View Options

    Get Access

    Login options

    Full Access

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    HTML Format

    View this article in HTML Format.

    HTML Format

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media