Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Machine Learning for Congestion Management and Routability Prediction within FPGA Placement

Published: 21 August 2020 Publication History
  • Get Citation Alerts
  • Abstract

    Placement for Field Programmable Gate Arrays (FPGAs) is one of the most important but time-consuming steps for achieving design closure. This article proposes the integration of three unique machine learning models into the state-of-the-art analytic placement tool GPlace3.0 with the aim of significantly reducing placement runtimes. The first model, MLCong, is based on linear regression and replaces the computationally expensive global router currently used in GPlace3.0 to estimate switch-level congestion. The second model, DLManage, is a convolutional encoder-decoder that uses heat maps based on the switch-level congestion estimates produced by MLCong to dynamically determine the amount of inflation to apply to each switch to resolve congestion. The third model, DLRoute, is a convolutional neural network that uses the previous heat maps to predict whether or not a placement solution is routable. Once a placement solution is determined to be routable, further optimization may be avoided, leading to improved runtimes. Experimental results obtained using 372 benchmarks provided by Xilinx Inc. show that when all three models are integrated into GPlace3.0, placement runtimes decrease by an average of 48%.

    References

    [1]
    Z. Abuowaimer, D. Maarouf, T. Martin, J. Foxcroft, G. Grewal, S. Areibi, and A. Vannelli. 2018. GPLace3.0: Routability driven analytic placer for UltraScale FPGA architectures. ACM Trans. Des. Autom. Electron. Syst. 23, 5 (Aug. 2018), 66:1--66:3.
    [2]
    A. Alhyari, A. Shamli, Z. Abuowaimer, G. Grewal, and S. Areibi. 2019. A deep learning framework to predict routability for FPGA circuit placement. In Proceedings of the International Conference on Field-Programmable Logic and Applications. 1--8.
    [3]
    Vaughn Betz and Jonathan Rose. 1997. VPR: A new packing, placement and routing tool for FPGA research. In Field-Programmable Logic and Applications. Springer, London, UK, 213--222.
    [4]
    P. Chan, M. Schlag, and J. Zien. 1993. On routability prediction for field programmable gate arrays. In Proceedings of the Design Automation Conference. 326--330.
    [5]
    W. Chan, P. Ho, A. Kahng, and P. Saxena. 2017. Routability optimization for industrial designs at sub-14nm process nodes using machine learning. In Proceedings of the International Symposium on Physical Design. ACM, 15--21.
    [6]
    W. T. J. Chan, Y. Du, A. B. Kahng, S. Nath, and K. Samadi. 2016. BEOL stack-aware routability prediction from placement using data mining techniques. In Proceedings of the International Conference on Computer Design (ICCD’16). 41--48.
    [7]
    GNL. 2002. Netlist-Generator Tool. Retrieved from http://users.elis.ugent.be/~dstrooba/gnl/.
    [8]
    Marcel Gort and Jason Helge Anderson. 2012. Analytical placement for heterogeneous FPGAs. In Proceedings of the 22nd International Conference on Field-Programmable Logic and Applications (FPL’12). IEEE, 143--150.
    [9]
    S. Han, A. Kahng, S. Nath, and A. Vydyanathan. 2014. A deep learning methodology to proliferate golden signoff timing. In Proceedings of the Design, Automation 8 Test in Europe Conference (DATE’14). 260:1–260:6.
    [10]
    W. Hou, H. Yu, X. Hong, Y. Cai, W. Wu, J. Gu, and W. Kao. 2001. A new congestion-driven placement algorithm based on cell inflation. In Proceedings of the Design Automation Conference, Asia and South Pacific. IEEE, 605--608.
    [11]
    S. Jain and K. Okabe. 2017. Training a fully convolutional neural network to route integrated circuits. ArXiv e-prints (June 2017).
    [12]
    PariVallal Kannan, Shankar Balachandran, and Dinesh Bhatia. 2001. fGREP—Fast generic routing demand estimation for placed FPGA circuits. In Proceedings of the International Conference on Field-Programmable Logic and Applications. Springer-Verlag, 37--47.
    [13]
    P. Kannan, S. Calachandran, and D. Bhatia. 2004. On metrics for comparing interconnect estimation methods for FPGAs. IEEE Trans. VLSI 12 (Apr. 2004), 381--385.
    [14]
    W. Li and D. Banerji. 1999. Routability prediction for hierarchical FPGAs. In Proceedings of the Great Lakes Symposium on VLSI. 1066--1395.
    [15]
    Wuxi Li, Shounak Dhar, and David Z. Pan. 2016. UTPlaceF: A routability-driven FPGA placer with physical and congestion aware packing. In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’16). 66:1–66:7.
    [16]
    D. Maarouf, A. Alhyari, Z. Abuowaimer, T. Martin, G. Grewal, S. Areibi, and A. Vannelli. 2018. A machine learning congestion estimation model for modern FPGAs. In Proceedings of the IEEE International Conference on Field-Programmable Logic and Applications. 1--8.
    [17]
    X. Mao, C. Shen, and Y. Yang. 2016. Image restoration using very deep convolutional encoder-decoder networks with symmetric skip connections. In Proceedings of the 30th International Conference on Neural Information Processing Systems (NIPS’16). 2810--2818.
    [18]
    L. McMurchie and C. Ebeling. 1995. PathFinder: A negotiation-based performance-driven router for FPGAs. In Proceedings of the 3rd International ACM Symposium on Field-Programmable Gate Arrays. 111--117.
    [19]
    R. Pattison, Z. Abuowaimer, S. Areibi, G. Grewal, and A. Vannelli. 2016. Invited paper: GPlace—A congestion-aware placement tool for UltraScale FPGAs. In Proceedings of the International Conference on Computer-Aided Design. 1--7.
    [20]
    C. Pui, G. Chen, W. Chow, K. Lam, P. Tu, H. Zhang, E. Young, and B. Yu. 2016. RippleFPGA: A routability-driven placement for large-scale heterogeneous FPGAs. In Proceedings of the International Conference on Computer-Aided Design. 1--8.
    [21]
    C. Pui, G. Chen, Y. Ma, E. Young, and B. Yu. 2017. Clock-aware UltraScale FPGA placement with machine learning routability prediction. In Proceedings of the International Conference on Computer-Aided Design. ACM, 929--936.
    [22]
    Z. Qi, Y. Cai, and Q. Zhou. 2014. Accurate prediction of detailed routing congestion using supervised data learning. In Proceedings of the IEEE International Conference on Computer Design. 97--103.
    [23]
    J. Rose, J. Luu, C. Yu, O. Densmore, J. Goeders, A. Somerville, K. B. Kent, P. Jamieson, and J. Anderson. 2012. The VTR project: Architecture and CAD for FPGAs from verilog to routing. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. ACM, 77--86.
    [24]
    R. Wood and R. Rutenbar. 1998. FPGA routing and routability estimation via Boolean satisfiability. IEEE Trans. VLSI Syst. 6, 2 (June 1998), 222--231.
    [25]
    Z. Xie, Y. Huang, G. Fang, H. Ren, S. Fang, Y. Chen, and J. Hu. 2018. RouteNet: Routability prediction for mixed-size designs using convolutional neural network. In Proceedings of the International Conference on Computer-Aided Design. 1--8.
    [26]
    Xilinx. 2016. ISPD 2016 Routability-Driven FPGA Placement Contest. Retrieved from http://www.ispd.cc/contests/16/ispd2016_contest.html.
    [27]
    Xilinx. 2017. UltraScale Architecture Configurable Logic Block User Guide. Retrieved from http://www.xilinx.com/support/documentation/user_guides/ug574-ultrascale-clb.pdf.
    [28]
    M. Xu, G. Grewal, and S. Areibi. 2011. StarPlace: A new analytic method for FPGA placement. Integ. VLSI J. 44, 3 (June 2011), 192--204.
    [29]
    S. Yang, A. Gayasen, C. Mulpuri, S. Reddy, and R. Aggarwal. 2016. Routability-driven FPGA placement contest. In Proceedings of the International Symposium on Physical Design. ACM, 139--143.
    [30]
    D. Yeager, D. Chiu, and G. Lemieux. 2007. Congestion estimation and localization in FPGAs: A visual tool for interconnect prediction. In Proceedings of the International Workshop on System Level Interconnect Prediction. ACM, 33--40.
    [31]
    C. Yu and Z. Zhang. 2019. Painting on placement: Forecasting routing congestion using conditional generative adversarial nets. In Proceedings of the Design Automation Conference (DAC’19). 1--6.
    [32]
    J. Zhao, T. Liang, S. Sinha, and W. Zhang. 2019. Machine learning based routing congestion prediction in FPGA high-level synthesis. In Proceedings of the Design, Automation and Test in Europe Conference (DATE’19). 1130--1135.
    [33]
    Q. Zhou, X. Wang, Z. Qi, Z. Chen, Q. Zhou, and Y. Cai. 2015. An accurate detailed routing routability prediction model in placement. In Proceedings of the Asia Symposium on Quality Electronic Design (ASQED’15). 119--122.
    [34]
    Y. Zhuo, H. Li, and S. Mohanty. 2006. A congestion driven placement algorithm for FPGA synthesis. In Proceedings of the International Conference on Field-Programmable Logic and Applications. 683--686.

    Cited By

    View all
    • (2023)Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323800(1-9)Online publication date: 28-Oct-2023
    • (2023)Mitigating Distribution Shift for Congestion Optimization in Global Placement2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247660(1-6)Online publication date: 9-Jul-2023
    • (2023)Estimating feature importance in circuit network using machine learningMultimedia Tools and Applications10.1007/s11042-023-16814-8Online publication date: 15-Sep-2023
    • Show More Cited By

    Index Terms

    1. Machine Learning for Congestion Management and Routability Prediction within FPGA Placement

            Recommendations

            Comments

            Information & Contributors

            Information

            Published In

            cover image ACM Transactions on Design Automation of Electronic Systems
            ACM Transactions on Design Automation of Electronic Systems  Volume 25, Issue 5
            Special Issue on Machine Learning
            September 2020
            303 pages
            ISSN:1084-4309
            EISSN:1557-7309
            DOI:10.1145/3409648
            Issue’s Table of Contents
            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Journal Family

            Publication History

            Published: 21 August 2020
            Online AM: 07 May 2020
            Accepted: 01 November 2019
            Revised: 01 November 2019
            Received: 01 June 2019
            Published in TODAES Volume 25, Issue 5

            Permissions

            Request permissions for this article.

            Check for updates

            Author Tags

            1. Placement
            2. UltraScale architecture
            3. congestion
            4. field programmable gate array
            5. heterogeneous
            6. routing-aware

            Qualifiers

            • Research-article
            • Research
            • Refereed

            Contributors

            Other Metrics

            Bibliometrics & Citations

            Bibliometrics

            Article Metrics

            • Downloads (Last 12 months)64
            • Downloads (Last 6 weeks)7

            Other Metrics

            Citations

            Cited By

            View all
            • (2023)Lay-Net: Grafting Netlist Knowledge on Layout-Based Congestion Prediction2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD)10.1109/ICCAD57390.2023.10323800(1-9)Online publication date: 28-Oct-2023
            • (2023)Mitigating Distribution Shift for Congestion Optimization in Global Placement2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247660(1-6)Online publication date: 9-Jul-2023
            • (2023)Estimating feature importance in circuit network using machine learningMultimedia Tools and Applications10.1007/s11042-023-16814-8Online publication date: 15-Sep-2023
            • (2023)PHetDP: A Placement Algorithm for Heterogeneous FPGAs with Delayed PackingCircuits, Systems, and Signal Processing10.1007/s00034-022-02159-442:2(801-827)Online publication date: 1-Feb-2023
            • (2022)Efficient Detailed Routing for FPGA Back-End Flow Using Reinforcement LearningElectronics10.3390/electronics1114224011:14(2240)Online publication date: 18-Jul-2022
            • (2022)Machine Learning for Agile FPGA DesignMachine Learning Applications in Electronic Design Automation10.1007/978-3-031-13074-8_16(471-504)Online publication date: 10-Aug-2022
            • (2021)High-Level Annotation of Routing Congestion for Xilinx Vivado HLS DesignsIEEE Access10.1109/ACCESS.2021.30674539(54286-54297)Online publication date: 2021

            View Options

            Get Access

            Login options

            Full Access

            View options

            PDF

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader

            HTML Format

            View this article in HTML Format.

            HTML Format

            Media

            Figures

            Other

            Tables

            Share

            Share

            Share this Publication link

            Share on social media